summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorUwe Hermann <uwe@hermann-uwe.de>2009-08-25 00:53:22 +0000
committerUwe Hermann <uwe@hermann-uwe.de>2009-08-25 00:53:22 +0000
commit5ec2c2b998086415047c05aca4ca7082de329e5a (patch)
tree7f8d974532c3a75ce4dfa202360359a2944a5e7e
parent50d0b8ce17dada0f5097ebaaf8de9fcbb9986389 (diff)
downloadcoreboot-5ec2c2b998086415047c05aca4ca7082de329e5a.tar.gz
coreboot-5ec2c2b998086415047c05aca4ca7082de329e5a.tar.bz2
coreboot-5ec2c2b998086415047c05aca4ca7082de329e5a.zip
Various Kconfig and Makefile.inc fixes and cosmetics.
- Whitespace fixes, remove trailing whitespace, use TABs for identation (except in Kconfig "help" lines, which start with one TAB and two spaces as per Linux kernel style) - Kconfig: Standardize on 'bool' (not 'boolean'). - s/lar/cbfs/ in one Kconfig help string. - Reword various Kconfig menu entries for a more usable and consistent menu. - Fix incorrect comment of NO_RUN in devices/Kconfig. - superio/serverengines/Kconfig: Incorrect config name. - superio/Makefile.inc: s/serverengine/serverengines/. - superio/intel/Kconfig: s/SUPERIO_FINTEK_I3100/SUPERIO_INTEL_I3100/. - mainboard/via/vt8454c/Kconfig: Fix copy-paste error in help string. - mainboard/via/epia-n/Kconfig: Fix "bool" menu text. - console/Kconfig: Don't mention defaults in the menu string, kconfig already displays them anyway. - Kill "Drivers" menu for now, it only confuses users as long as it's emtpy. Signed-off-by: Uwe Hermann <uwe@hermann-uwe.de> Acked-by: Uwe Hermann <uwe@hermann-uwe.de> git-svn-id: svn://svn.coreboot.org/coreboot/trunk@4567 2b7e53f0-3cfb-0310-b3e9-8179ed1497e1
-rw-r--r--src/Kconfig29
-rw-r--r--src/arch/i386/Kconfig10
-rw-r--r--src/arch/i386/boot/Makefile.inc1
-rw-r--r--src/arch/i386/smp/Makefile.inc1
-rw-r--r--src/arch/ppc/Kconfig2
-rw-r--r--src/console/Kconfig11
-rw-r--r--src/console/Makefile.inc26
-rw-r--r--src/cpu/Makefile.inc1
-rw-r--r--src/cpu/amd/dualcore/Makefile.inc3
-rw-r--r--src/cpu/amd/model_fxx/Kconfig1
-rw-r--r--src/cpu/amd/socket_AM2/Kconfig4
-rw-r--r--src/cpu/amd/socket_F/Kconfig6
-rw-r--r--src/cpu/emulation/qemu-x86/Kconfig4
-rw-r--r--src/cpu/intel/Makefile.inc7
-rw-r--r--src/cpu/intel/socket_PGA370/Makefile.inc1
-rw-r--r--src/cpu/x86/smm/Makefile.inc4
-rw-r--r--src/cpu/x86/tsc/Makefile.inc6
-rw-r--r--src/devices/Kconfig40
-rw-r--r--src/lib/Makefile.inc46
-rw-r--r--src/mainboard/Kconfig27
-rw-r--r--src/mainboard/amd/serengeti_cheetah/Kconfig12
-rw-r--r--src/mainboard/amd/serengeti_cheetah/Makefile.inc30
-rw-r--r--src/mainboard/kontron/986lcd-m/Makefile.inc16
-rw-r--r--src/mainboard/kontron/Kconfig28
-rw-r--r--src/mainboard/msi/Kconfig1
-rw-r--r--src/mainboard/via/Kconfig9
-rw-r--r--src/mainboard/via/epia-n/Kconfig16
-rw-r--r--src/mainboard/via/epia-n/Makefile.inc4
-rw-r--r--src/mainboard/via/vt8454c/Kconfig18
-rw-r--r--src/mainboard/via/vt8454c/Makefile.inc20
-rw-r--r--src/northbridge/amd/amdk8/Kconfig1
-rw-r--r--src/northbridge/amd/amdk8/Makefile.inc8
-rw-r--r--src/northbridge/via/cx700/Makefile.inc2
-rw-r--r--src/pc80/Makefile.inc14
-rw-r--r--src/southbridge/amd/Makefile.inc1
-rw-r--r--src/southbridge/intel/i82371eb/Kconfig2
-rw-r--r--src/southbridge/intel/i82371eb/Makefile.inc1
-rw-r--r--src/southbridge/intel/i82801gx/Makefile.inc32
-rw-r--r--src/southbridge/intel/i82801xx/Makefile.inc24
-rw-r--r--src/southbridge/nvidia/ck804/Makefile.inc3
-rw-r--r--src/southbridge/nvidia/mcp55/Makefile.inc1
-rw-r--r--src/southbridge/via/vt8237r/Makefile.inc14
-rw-r--r--src/superio/Makefile.inc3
-rw-r--r--src/superio/fintek/f71805f/Makefile.inc2
-rw-r--r--src/superio/intel/Kconfig2
-rw-r--r--src/superio/intel/i3100/Makefile.inc2
-rw-r--r--src/superio/ite/it8661f/Makefile.inc2
-rw-r--r--src/superio/ite/it8671f/Makefile.inc2
-rw-r--r--src/superio/ite/it8673f/Makefile.inc2
-rw-r--r--src/superio/ite/it8705f/Makefile.inc2
-rw-r--r--src/superio/ite/it8712f/Makefile.inc2
-rw-r--r--src/superio/ite/it8716f/Makefile.inc2
-rw-r--r--src/superio/ite/it8718f/Makefile.inc2
-rw-r--r--src/superio/nsc/pc8374/Makefile.inc2
-rw-r--r--src/superio/nsc/pc87309/Makefile.inc2
-rw-r--r--src/superio/nsc/pc87351/Makefile.inc2
-rw-r--r--src/superio/nsc/pc87360/Makefile.inc2
-rw-r--r--src/superio/nsc/pc87366/Makefile.inc2
-rw-r--r--src/superio/nsc/pc87417/Makefile.inc2
-rw-r--r--src/superio/nsc/pc87427/Makefile.inc2
-rw-r--r--src/superio/nsc/pc97307/Makefile.inc2
-rw-r--r--src/superio/nsc/pc97317/Makefile.inc2
-rw-r--r--src/superio/serverengines/Kconfig2
-rw-r--r--src/superio/smsc/fdc37m60x/Makefile.inc2
-rw-r--r--src/superio/smsc/lpc47b272/Makefile.inc2
-rw-r--r--src/superio/smsc/lpc47b397/Makefile.inc2
-rw-r--r--src/superio/smsc/lpc47m10x/Makefile.inc2
-rw-r--r--src/superio/smsc/lpc47n217/Makefile.inc2
-rw-r--r--src/superio/smsc/smscsuperio/Makefile.inc2
-rw-r--r--src/superio/via/vt1211/Makefile.inc2
-rw-r--r--src/superio/winbond/Kconfig18
-rw-r--r--src/superio/winbond/w83627dhg/Makefile.inc2
-rw-r--r--src/superio/winbond/w83627ehg/Makefile.inc2
-rw-r--r--src/superio/winbond/w83627hf/Makefile.inc2
-rw-r--r--src/superio/winbond/w83627thf/Makefile.inc2
-rw-r--r--src/superio/winbond/w83627thg/Makefile.inc2
-rw-r--r--src/superio/winbond/w83627uhg/Makefile.inc2
-rw-r--r--src/superio/winbond/w83697hf/Makefile.inc2
-rw-r--r--src/superio/winbond/w83977f/Makefile.inc2
-rw-r--r--src/superio/winbond/w83977tf/Makefile.inc2
-rw-r--r--util/cbfstool/Makefile.inc4
-rw-r--r--util/x86emu/x86emu/Makefile.inc14
82 files changed, 291 insertions, 307 deletions
diff --git a/src/Kconfig b/src/Kconfig
index cee33525bae9..32febc97bb91 100644
--- a/src/Kconfig
+++ b/src/Kconfig
@@ -49,12 +49,12 @@ config PCI_BUS_SEGN_BITS
default 0
config MAINBOARD_PCI_SUBSYSTEM_VENDOR_ID
- hex
- default 0
+ hex
+ default 0
config MAINBOARD_PCI_SUBSYSTEM_DEVICE_ID
- hex
- default 0
+ hex
+ default 0
config CPU_ADDR_BITS
int
@@ -214,9 +214,10 @@ config IOAPIC
bool
default n
-menu "Drivers"
-
-endmenu
+# TODO
+# menu "Drivers"
+#
+# endmenu
menu "Payload"
@@ -242,14 +243,12 @@ config VGA_BIOS
bool "Add a VGA BIOS image"
depends on PAYLOAD_ELF
help
- Select this option if you have a VGA BIOS image that you would
+ Select this option if you have a VGA BIOS image that you would
like to add to your ROM.
You will be able to specify the location and file name of the
image later.
-
-
config PAYLOAD_NONE
bool "No payload"
help
@@ -257,7 +256,7 @@ config PAYLOAD_NONE
ROM image for a certain mainboard, i.e. a coreboot ROM image
which does not yet contain a payload.
- For such an image to be useful, you have to use the 'lar' tool
+ For such an image to be useful, you have to use the 'cbfs' tool
to add a payload to the ROM image later.
endchoice
@@ -267,7 +266,7 @@ config FALLBACK_PAYLOAD_FILE
depends on PAYLOAD_ELF
default "payload.elf"
help
- The path and filename of the ELF executable file to use as fallback payload.
+ The path and filename of the ELF executable file to use as payload.
config FALLBACK_VGA_BIOS_FILE
string "VGA BIOS path and filename"
@@ -286,9 +285,9 @@ config FALLBACK_VGA_BIOS_ID
endmenu
config GDB_STUB
- bool "Enable GDB debugging support"
+ bool "GDB debugging support"
default y
help
- If this is set, then you will be able to set breakpoints for gdb debugging.
- See: src/arch/i386/lib/c_start.S
+ If enabled, you will be able to set breakpoints for gdb debugging.
+ See src/arch/i386/lib/c_start.S for details.
diff --git a/src/arch/i386/Kconfig b/src/arch/i386/Kconfig
index 54e456097245..4dc032110ce3 100644
--- a/src/arch/i386/Kconfig
+++ b/src/arch/i386/Kconfig
@@ -1,5 +1,5 @@
config ARCH_X86
- boolean
+ bool
help
This option is used to set the architecture of a mainboard.
It is usually set in mainboard/*/Kconfig.
@@ -12,7 +12,7 @@ config ARCH
This is the name of the respective subdirectory in arch/.
config ROMBASE
- hex
+ hex
default 0xffc00000 if COREBOOT_ROMSIZE_KB_4096
default 0xffe00000 if COREBOOT_ROMSIZE_KB_2048
default 0xfff00000 if COREBOOT_ROMSIZE_KB_1024
@@ -50,13 +50,11 @@ config STACK_SIZE
hex
default 0x8000
-
-menu "Misc Options"
+menu "Misc options"
config MAX_REBOOT_CNT
- int "Maximum Reboot Count"
+ int "Maximum reboot count"
default 3
endmenu
-
diff --git a/src/arch/i386/boot/Makefile.inc b/src/arch/i386/boot/Makefile.inc
index 40bb3b8e1320..0c31ef07cb47 100644
--- a/src/arch/i386/boot/Makefile.inc
+++ b/src/arch/i386/boot/Makefile.inc
@@ -1,4 +1,3 @@
-
obj-y += boot.o
obj-y += coreboot_table.o
obj-$(CONFIG_MULTIBOOT) += multiboot.o
diff --git a/src/arch/i386/smp/Makefile.inc b/src/arch/i386/smp/Makefile.inc
index 119dd6d40e69..ed8ab55dfd2d 100644
--- a/src/arch/i386/smp/Makefile.inc
+++ b/src/arch/i386/smp/Makefile.inc
@@ -2,4 +2,3 @@ obj-$(CONFIG_HAVE_MP_TABLE) += mpspec.o
# what about this: how awkward.
#object ioapic.o CONFIG_IOAPIC
-
diff --git a/src/arch/ppc/Kconfig b/src/arch/ppc/Kconfig
index 23de36c0e8a4..66a90515fb9a 100644
--- a/src/arch/ppc/Kconfig
+++ b/src/arch/ppc/Kconfig
@@ -1,5 +1,5 @@
config ARCH_POWERPC
- boolean
+ bool
help
This option is used to set the architecture of a mainboard.
It is usually set in mainboard/*/Kconfig.
diff --git a/src/console/Kconfig b/src/console/Kconfig
index 386f8391fe6a..2d047e763b07 100644
--- a/src/console/Kconfig
+++ b/src/console/Kconfig
@@ -1,27 +1,28 @@
-menu "Console Options"
+menu "Console options"
config SERIAL_CONSOLE
bool "See output on the serial port console"
default y
config TTYS0_BASE
- hex "I/O base for the serial port (default 0x3f8)"
+ hex "I/O base for the serial port"
depends on SERIAL_CONSOLE
default 0x3f8
config SERIAL_SET_SPEED
- bool "Override the serial console baud rate"
+ bool "Override the serial console BAUD rate"
default y
depends on SERIAL_CONSOLE
config TTYS0_BAUD
- int "Serial console baud rate (default 115200)"
+ int "Serial console BAUD rate"
depends on SERIAL_SET_SPEED
default 115200
config USBDEBUG_DIRECT
- bool "Support a USB debug dongle. Not supported on all chipsets. FIX DEPENDENCY HERE"
+ bool "USB debug dongle support. Not supported on all chipsets."
default n
+ # TODO: FIX DEPENDENCY HERE
config CONSOLE_VGA
bool
diff --git a/src/console/Makefile.inc b/src/console/Makefile.inc
index 242c93e0d434..233f44508a9e 100644
--- a/src/console/Makefile.inc
+++ b/src/console/Makefile.inc
@@ -1,12 +1,14 @@
-obj-y += printk.o
-obj-y += console.o
-obj-y += vtxprintf.o
-obj-y += vsprintf.o
-initobj-y += vtxprintf.o
-initobj-y += vsprintf.o
-driver-$(CONFIG_SERIAL_CONSOLE) += uart8250_console.o
-driver-$(CONFIG_USBDEBUG_DIRECT) += usbdebug_direct_console.o
-driver-$(CONFIG_CONSOLE_VGA) += vga_console.o
-driver-$(CONFIG_CONSOLE_BTEXT) += btext_console.o
-driver-$(CONFIG_CONSOLE_BTEXT) += font-8x16.o
-driver-$(CONFIG_CONSOLE_LOGBUF) += logbuf_console.o
+obj-y += printk.o
+obj-y += console.o
+obj-y += vtxprintf.o
+obj-y += vsprintf.o
+
+initobj-y += vtxprintf.o
+initobj-y += vsprintf.o
+
+driver-$(CONFIG_SERIAL_CONSOLE) += uart8250_console.o
+driver-$(CONFIG_USBDEBUG_DIRECT) += usbdebug_direct_console.o
+driver-$(CONFIG_CONSOLE_VGA) += vga_console.o
+driver-$(CONFIG_CONSOLE_BTEXT) += btext_console.o
+driver-$(CONFIG_CONSOLE_BTEXT) += font-8x16.o
+driver-$(CONFIG_CONSOLE_LOGBUF) += logbuf_console.o
diff --git a/src/cpu/Makefile.inc b/src/cpu/Makefile.inc
index e650525b6169..60be3edf51fb 100644
--- a/src/cpu/Makefile.inc
+++ b/src/cpu/Makefile.inc
@@ -4,5 +4,4 @@ subdirs-y += via
subdirs-y += emulation
#input ppc
#input simple_init
-#input via
#input x86
diff --git a/src/cpu/amd/dualcore/Makefile.inc b/src/cpu/amd/dualcore/Makefile.inc
index 90a7ee55efd4..ee2d93c92847 100644
--- a/src/cpu/amd/dualcore/Makefile.inc
+++ b/src/cpu/amd/dualcore/Makefile.inc
@@ -1,3 +1,2 @@
-# This is a leaf makefile, no conditionals. If it is included it will
-# be used.
+# This is a leaf Makefile, no conditionals. If it is included it will be used.
obj-y += amd_sibling.o
diff --git a/src/cpu/amd/model_fxx/Kconfig b/src/cpu/amd/model_fxx/Kconfig
index bab2175feed4..1037725a97f2 100644
--- a/src/cpu/amd/model_fxx/Kconfig
+++ b/src/cpu/amd/model_fxx/Kconfig
@@ -13,4 +13,3 @@ config CPU_ADDR_BITS
default 40
depends on CPU_AMD_SOCKET_F
-
diff --git a/src/cpu/amd/socket_AM2/Kconfig b/src/cpu/amd/socket_AM2/Kconfig
index d3afbab3a45c..6b61131fa243 100644
--- a/src/cpu/amd/socket_AM2/Kconfig
+++ b/src/cpu/amd/socket_AM2/Kconfig
@@ -12,13 +12,13 @@ config K8_REV_F_SUPPORT
default 1
depends on CPU_AMD_SOCKET_AM2
-#Opteron K8 1G HT Support
+# Opteron K8 1G HT support
config K8_HT_FREQ_1G_SUPPORT
hex
default 1
depends on CPU_AMD_SOCKET_AM2
-#DDR2 and REG
+# DDR2 and REG
config DIMM_SUPPORT
hex
default 0x0004
diff --git a/src/cpu/amd/socket_F/Kconfig b/src/cpu/amd/socket_F/Kconfig
index 30e90807b62b..f9e03359e038 100644
--- a/src/cpu/amd/socket_F/Kconfig
+++ b/src/cpu/amd/socket_F/Kconfig
@@ -12,16 +12,16 @@ config K8_REV_F_SUPPORT
default 1
depends on CPU_AMD_SOCKET_F
-#Opteron K8 1G HT Support
+# Opteron K8 1G HT support
config K8_HT_FREQ_1G_SUPPORT
hex
default 1
depends on CPU_AMD_SOCKET_F
-#DDR2 and REG
+# DDR2 and REG
config DIMM_SUPPORT
hex
- default 0x0104
+ default 0x0104
depends on CPU_AMD_SOCKET_F
config CPU_SOCKET_TYPE
diff --git a/src/cpu/emulation/qemu-x86/Kconfig b/src/cpu/emulation/qemu-x86/Kconfig
index 15b3538f25db..842fbfd3b42c 100644
--- a/src/cpu/emulation/qemu-x86/Kconfig
+++ b/src/cpu/emulation/qemu-x86/Kconfig
@@ -1,4 +1,4 @@
config CPU_EMULATION_QEMU_X86
- bool
- default false
+ bool
+ default false
diff --git a/src/cpu/intel/Makefile.inc b/src/cpu/intel/Makefile.inc
index d986c6350d75..3a5f3a03ce9c 100644
--- a/src/cpu/intel/Makefile.inc
+++ b/src/cpu/intel/Makefile.inc
@@ -1,6 +1,7 @@
-# Note: from here on down, we are socket-centric. Socket choice determines what other cpu files are included.
-# Therefore:
-# ONLY include Makefile.inc from socket directories!
+# Note: From here on down, we are socket-centric. Socket choice determines
+# what other cpu files are included.
+#
+# Therefore: ONLY include Makefile.inc from socket directories!
subdirs-$(CONFIG_CPU_INTEL_SOCKET_MFCPGA478) += socket_mFCPGA478
subdirs-$(CONFIG_CPU_INTEL_SOCKET_PGA370) += socket_PGA370
diff --git a/src/cpu/intel/socket_PGA370/Makefile.inc b/src/cpu/intel/socket_PGA370/Makefile.inc
index b56585e889f7..8b49ded5f551 100644
--- a/src/cpu/intel/socket_PGA370/Makefile.inc
+++ b/src/cpu/intel/socket_PGA370/Makefile.inc
@@ -30,4 +30,3 @@ subdirs-y += ../../x86/cache
subdirs-y += ../../x86/smm
subdirs-y += ../microcode
-
diff --git a/src/cpu/x86/smm/Makefile.inc b/src/cpu/x86/smm/Makefile.inc
index 6a566017ea5a..b91e96c332e1 100644
--- a/src/cpu/x86/smm/Makefile.inc
+++ b/src/cpu/x86/smm/Makefile.inc
@@ -25,12 +25,12 @@
## smmobject smihandler.o
##
## makerule smm.o
-## depends "$(SMM-OBJECTS) $(TOP)/src/console/printk.o $(TOP)/src/console/vtxprintf.o $(LIBGCC_FILE_NAME)"
+## depends "$(SMM-OBJECTS) $(TOP)/src/console/printk.o $(TOP)/src/console/vtxprintf.o $(LIBGCC_FILE_NAME)"
## action "$(CC) $(DISTRO_LFLAGS) -nostdlib -r -o $@ $^"
## end
##
## makerule smm
-## depends "smm.o $(TOP)/src/cpu/x86/smm/smm.ld ldoptions"
+## depends "smm.o $(TOP)/src/cpu/x86/smm/smm.ld ldoptions"
## action "$(CC) $(DISTRO_LFLAGS) -nostdlib -nostartfiles -static -o smm.elf -T $(TOP)/src/cpu/x86/smm/smm.ld smm.o"
## action "$(CONFIG_CROSS_COMPILE)nm -n smm.elf | sort > smm.map"
## action "$(OBJCOPY) -O binary smm.elf smm"
diff --git a/src/cpu/x86/tsc/Makefile.inc b/src/cpu/x86/tsc/Makefile.inc
index 93bd13720e78..408105ef9614 100644
--- a/src/cpu/x86/tsc/Makefile.inc
+++ b/src/cpu/x86/tsc/Makefile.inc
@@ -1,7 +1,7 @@
obj-y += delay_tsc.o
# default CONFIG_TSC_X86RDTSC_CALIBRATE_WITH_TIMER2=0
-# if CONFIG_UDELAY_TSC
-# default CONFIG_HAVE_INIT_TIMER=1
-# object delay_tsc.o
+# if CONFIG_UDELAY_TSC
+# default CONFIG_HAVE_INIT_TIMER=1
+# object delay_tsc.o
# end
diff --git a/src/devices/Kconfig b/src/devices/Kconfig
index 6f78cc6324ae..64b442466c3e 100644
--- a/src/devices/Kconfig
+++ b/src/devices/Kconfig
@@ -24,30 +24,30 @@ config VGA_ROM_RUN
bool
help
Execute PCI/AGP option ROMs if available. This is required to
- enable PCI/AGP VGA plugin cards.
+ enable PCI/AGP VGA plugin cards.
choice
- prompt "Execute PCI Option ROMs"
- default PCI_OPTION_ROM_RUN_REALMODE
- help
- Execute PCI/AGP option ROMs if available. You can choose to
- execute PCI option ROMs natively (32bit x86 system required),
- in an emulator (x86emu), or ignore option ROM execution.
+ prompt "Execute PCI option ROMs"
+ default PCI_OPTION_ROM_RUN_REALMODE
+ help
+ Execute PCI/AGP option ROMs if available. You can choose to
+ execute PCI option ROMs natively (32bit x86 system required),
+ in an emulator (x86emu), or ignore option ROM execution.
- config PCI_OPTION_ROM_RUN_REALMODE
- prompt "Run VGA ROMs"
- bool
- select VGA_ROM_RUN
- help
- Execute PCI/AGP option ROMs if available. This is required to
- enable PCI/AGP VGA plugin cards.
+config PCI_OPTION_ROM_RUN_REALMODE
+ prompt "Run VGA ROMs"
+ bool
+ select VGA_ROM_RUN
+ help
+ Execute PCI/AGP option ROMs if available. This is required to
+ enable PCI/AGP VGA plugin cards.
- config NO_RUN
- prompt "DO NOT Run VGA ROMs"
- bool
- help
- Execute PCI/AGP option ROMs if available. This is required to
- enable PCI/AGP VGA plugin cards.
+config NO_RUN
+ prompt "Do NOT run VGA ROMs"
+ bool
+ help
+ Do not execute PCI/AGP option ROMs.
endchoice
+
endmenu
diff --git a/src/lib/Makefile.inc b/src/lib/Makefile.inc
index 1d76aac09b23..65abde0d53e6 100644
--- a/src/lib/Makefile.inc
+++ b/src/lib/Makefile.inc
@@ -1,28 +1,28 @@
-obj-y += clog2.o
-obj-y += uart8250.o
-obj-y += memset.o
-obj-y += memcpy.o
-obj-y += memcmp.o
-obj-y += memmove.o
-obj-y += malloc.o
-obj-y += delay.o
-obj-y += fallback_boot.o
-obj-y += compute_ip_checksum.o
-obj-y += version.o
-obj-y += cbfs.o
-obj-y += lzma.o
-#obj-y += lzmadecode.o
+obj-y += clog2.o
+obj-y += uart8250.o
+obj-y += memset.o
+obj-y += memcpy.o
+obj-y += memcmp.o
+obj-y += memmove.o
+obj-y += malloc.o
+obj-y += delay.o
+obj-y += fallback_boot.o
+obj-y += compute_ip_checksum.o
+obj-y += version.o
+obj-y += cbfs.o
+obj-y += lzma.o
+#obj-y += lzmadecode.o
-initobj-y += uart8250.o
-initobj-y += memset.o
-initobj-y += memcpy.o
-initobj-y += memcmp.o
-initobj-y += cbfs.o
-initobj-y += lzma.o
-#initobj-y += lzmadecode.o
+initobj-y += uart8250.o
+initobj-y += memset.o
+initobj-y += memcpy.o
+initobj-y += memcmp.o
+initobj-y += cbfs.o
+initobj-y += lzma.o
+#initobj-y += lzmadecode.o
-obj-$(CONFIG_USBDEBUG_DIRECT) += usbdebug_direct.o
-obj-$(CONFIG_COMPRESSED_PAYLOAD_LZMA) += lzma.o
+obj-$(CONFIG_USBDEBUG_DIRECT) += usbdebug_direct.o
+obj-$(CONFIG_COMPRESSED_PAYLOAD_LZMA) += lzma.o
ifdef POST_EVALUATION
$(obj)/lib/version.o :: $(obj)/build.h
diff --git a/src/mainboard/Kconfig b/src/mainboard/Kconfig
index d005230ef473..423068a1a955 100644
--- a/src/mainboard/Kconfig
+++ b/src/mainboard/Kconfig
@@ -1,4 +1,3 @@
-
menu "Mainboard"
choice
@@ -228,29 +227,29 @@ config VENDOR_VIA
endchoice
config MAINBOARD_VENDOR
- string
- default "EMULATION"
- depends on VENDOR_EMULATION
+ string
+ default "Emulation"
+ depends on VENDOR_EMULATION
config MAINBOARD_VENDOR
- string
- default "KONTRON"
- depends on VENDOR_KONTRON
+ string
+ default "Kontron"
+ depends on VENDOR_KONTRON
config MAINBOARD_VENDOR
- string
- default "VIA"
- depends on VENDOR_VIA
+ string
+ default "VIA"
+ depends on VENDOR_VIA
config MAINBOARD_VENDOR
- string
- default "AMD"
- depends on VENDOR_AMD
+ string
+ default "AMD"
+ depends on VENDOR_AMD
config MAINBOARD_PCI_SUBSYSTEM_VENDOR_ID
hex
default 0x1019
- depends on VENDOR_VIA
+ depends on VENDOR_VIA
source "src/mainboard/a-trend/Kconfig"
source "src/mainboard/abit/Kconfig"
diff --git a/src/mainboard/amd/serengeti_cheetah/Kconfig b/src/mainboard/amd/serengeti_cheetah/Kconfig
index b148558e4697..8bbc4c50d7e1 100644
--- a/src/mainboard/amd/serengeti_cheetah/Kconfig
+++ b/src/mainboard/amd/serengeti_cheetah/Kconfig
@@ -3,7 +3,7 @@ choice
depends on VENDOR_AMD
config BOARD_AMD_SERENGETI_CHEETAH
- bool "SERENGETI_CHEETAH"
+ bool "Serengeti Cheetah"
select ARCH_X86
select CPU_AMD_K8
select CPU_AMD_SOCKET_F
@@ -15,7 +15,7 @@ config BOARD_AMD_SERENGETI_CHEETAH
select PIRQ_TABLE
select USE_PRINTK_IN_CAR
help
- AMD Serengeti Series mainboards
+ AMD Serengeti Cheetah mainboard.
endchoice
config MAINBOARD_DIR
@@ -27,12 +27,12 @@ config USE_DCACHE_RAM
int
default 1
depends on BOARD_AMD_SERENGETI_CHEETAH
-
+
config DCACHE_RAM_BASE
hex
default 0xc8000
depends on BOARD_AMD_SERENGETI_CHEETAH
-
+
config DCACHE_RAM_SIZE
hex
default 0x08000
@@ -70,7 +70,7 @@ config LB_CKS_RANGE_END
config LB_CKS_LOC
int
- default 123
+ default 123
depends on BOARD_AMD_SERENGETI_CHEETAH
config MAINBOARD_PART_NUMBER
@@ -80,7 +80,7 @@ config MAINBOARD_PART_NUMBER
config PCI_64BIT_PREF_MEM
int
- default 0
+ default 0
depends on BOARD_AMD_SERENGETI_CHEETAH
config USE_DCACHE_RAM
diff --git a/src/mainboard/amd/serengeti_cheetah/Makefile.inc b/src/mainboard/amd/serengeti_cheetah/Makefile.inc
index d5ff7d1efb53..320bb7be1e67 100644
--- a/src/mainboard/amd/serengeti_cheetah/Makefile.inc
+++ b/src/mainboard/amd/serengeti_cheetah/Makefile.inc
@@ -1,6 +1,6 @@
##
## This file is part of the coreboot project.
-##
+##
## Copyright (C) 2007-2008 coresystems GmbH
##
## This program is free software; you can redistribute it and/or
@@ -19,27 +19,23 @@
## MA 02110-1301 USA
##
-##
-## This mainboard requires DCACHE_AS_RAM enabled. It won't work without.
-##
-
-driver-y += mainboard.o
+driver-y += mainboard.o
-#needed by irq_tables and mptable and acpi_tables
+# Needed by irq_tables and mptable and acpi_tables.
obj-y += get_bus_conf.o
obj-$(CONFIG_HAVE_MP_TABLE) += mptable.o
obj-$(CONFIG_HAVE_PIRQ_TABLE) += irq_tables.o
-obj-$(CONFIG_HAVE_ACPI_TABLES) += dsdt.o
-obj-$(CONFIG_HAVE_ACPI_TABLES) += acpi_tables.o
-obj-$(CONFIG_HAVE_ACPI_TABLES) += fadt.o
-
-#./ssdt.o is in northbridge/amd/amdk8/Config.lb
-obj-$(CONFIG_ACPI_SSDTX_NUM) += ssdt2.o
-obj-$(CONFIG_ACPI_SSDTX_NUM) += ssdt3.o
-obj-$(CONFIG_HAVE_ACPI_TABLES) += ssdt4.o
+obj-$(CONFIG_HAVE_ACPI_TABLES) += dsdt.o
+obj-$(CONFIG_HAVE_ACPI_TABLES) += acpi_tables.o
+obj-$(CONFIG_HAVE_ACPI_TABLES) += fadt.o
+
+# ./ssdt.o is in northbridge/amd/amdk8/Config.lb
+obj-$(CONFIG_ACPI_SSDTX_NUM) += ssdt2.o
+obj-$(CONFIG_ACPI_SSDTX_NUM) += ssdt3.o
+obj-$(CONFIG_HAVE_ACPI_TABLES) += ssdt4.o
driver-y += ../../../drivers/i2c/i2cmux/i2cmux.o
-# This is part of the conversion to init-obj and away from included code.
+# This is part of the conversion to init-obj and away from included code.
initobj-y += crt0.o
# FIXME in $(top)/Makefile
@@ -79,7 +75,7 @@ $(obj)/ssdt3.c: $(src)/mainboard/$(MAINBOARDDIR)/dx/pci3.asl"
iasl -p $(CURDIR)/pci3 -tc $(CONFIG_MAINBOARD)/
perl -pi -e 's/AmlCode/AmlCode_ssdt3/g' pci3.hex
mv pci3.hex ssdt3.c
-
+
$(obj)/ssdt4.c: $(src)/mainboard/$(MAINBOARDDIR)/dx/pci4.asl"
iasl -p $(CURDIR)/pci4 -tc $(CONFIG_MAINBOARD)/dx/pci4.asl
perl -pi -e 's/AmlCode/AmlCode_ssdt4/g' pci4.hex
diff --git a/src/mainboard/kontron/986lcd-m/Makefile.inc b/src/mainboard/kontron/986lcd-m/Makefile.inc
index 6a021bfca9b4..3dc5e29fd30d 100644
--- a/src/mainboard/kontron/986lcd-m/Makefile.inc
+++ b/src/mainboard/kontron/986lcd-m/Makefile.inc
@@ -1,6 +1,6 @@
##
## This file is part of the coreboot project.
-##
+##
## Copyright (C) 2007-2008 coresystems GmbH
##
## This program is free software; you can redistribute it and/or
@@ -21,21 +21,21 @@
##
## This mainboard requires DCACHE_AS_RAM enabled. It won't work without.
-##
+##
-driver-y += mainboard.o
-driver-y += rtl8168.o
+driver-y += mainboard.o
+driver-y += rtl8168.o
#obj-y += ../../../southbridge/intel/i82801gx/i82801gx_reset.c
obj-$(CONFIG_HAVE_MP_TABLE) += mptable.o
obj-$(CONFIG_HAVE_PIRQ_TABLE) += irq_tables.o
-obj-$(CONFIG_HAVE_ACPI_TABLES) += dsdt.o
-obj-$(CONFIG_HAVE_ACPI_TABLES) += acpi_tables.o
-obj-$(CONFIG_HAVE_ACPI_TABLES) += fadt.o
+obj-$(CONFIG_HAVE_ACPI_TABLES) += dsdt.o
+obj-$(CONFIG_HAVE_ACPI_TABLES) += acpi_tables.o
+obj-$(CONFIG_HAVE_ACPI_TABLES) += fadt.o
smmobj-$(CONFIG_HAVE_SMI_HANDLER) += mainboard_smi.o
-# This is part of the conversion to init-obj and away from included code.
+# This is part of the conversion to init-obj and away from included code.
initobj-y += crt0.o
# FIXME in $(top)/Makefile
diff --git a/src/mainboard/kontron/Kconfig b/src/mainboard/kontron/Kconfig
index 4a6a64fddbe0..dd5be6704d2f 100644
--- a/src/mainboard/kontron/Kconfig
+++ b/src/mainboard/kontron/Kconfig
@@ -1,20 +1,21 @@
choice
- prompt "Mainboard model"
- depends on VENDOR_KONTRON
+ prompt "Mainboard model"
+ depends on VENDOR_KONTRON
config BOARD_KONTRON_986LCD_M
- bool "986LCD-M"
- select ARCH_X86
- select CPU_INTEL_CORE
+ bool "986LCD-M"
+ select ARCH_X86
+ select CPU_INTEL_CORE
select CPU_INTEL_SOCKET_MFCPGA478
- select NORTHBRIDGE_INTEL_I945
- select SOUTHBRIDGE_INTEL_I82801GX
- select SUPERIO_WINBOND_W83627THG
- select PIRQ_TABLE
+ select NORTHBRIDGE_INTEL_I945
+ select SOUTHBRIDGE_INTEL_I82801GX
+ select SUPERIO_WINBOND_W83627THG
+ select PIRQ_TABLE
select MMCONF_SUPPORT
select USE_PRINTK_IN_CAR
- help
- Kontron 986LCD-M Series mainboards
+ help
+ Kontron 986LCD-M/mITX mainboard.
+
endchoice
config MAINBOARD_DIR
@@ -26,7 +27,7 @@ config DCACHE_RAM_BASE
hex
default 0xffdf8000
depends on BOARD_KONTRON_986LCD_M
-
+
config DCACHE_RAM_SIZE
hex
default 0x8000
@@ -39,10 +40,11 @@ config LB_CKS_RANGE_END
config LB_CKS_LOC
int
- default 123
+ default 123
depends on BOARD_KONTRON_986LCD_M
config MAINBOARD_PART_NUMBER
string
default "986LCD-M"
depends on BOARD_KONTRON_986LCD_M
+
diff --git a/src/mainboard/msi/Kconfig b/src/mainboard/msi/Kconfig
index 3a3a38a56325..ee636338b9a3 100644
--- a/src/mainboard/msi/Kconfig
+++ b/src/mainboard/msi/Kconfig
@@ -32,6 +32,7 @@ config BOARD_MSI_MS6178
select PIRQ_TABLE
help
MSI MS-6178 mainboard.
+
endchoice
config MAINBOARD_DIR
diff --git a/src/mainboard/via/Kconfig b/src/mainboard/via/Kconfig
index 4d0a55b6bf11..787b32bc56cf 100644
--- a/src/mainboard/via/Kconfig
+++ b/src/mainboard/via/Kconfig
@@ -1,10 +1,9 @@
choice
- prompt "Mainboard model"
- depends on VENDOR_VIA
+ prompt "Mainboard model"
+ depends on VENDOR_VIA
- source "src/mainboard/via/vt8454c/Kconfig"
- source "src/mainboard/via/epia-n/Kconfig"
+source "src/mainboard/via/vt8454c/Kconfig"
+source "src/mainboard/via/epia-n/Kconfig"
endchoice
-
diff --git a/src/mainboard/via/epia-n/Kconfig b/src/mainboard/via/epia-n/Kconfig
index 93f9e236cee6..e7818a9b519c 100644
--- a/src/mainboard/via/epia-n/Kconfig
+++ b/src/mainboard/via/epia-n/Kconfig
@@ -1,14 +1,14 @@
config BOARD_VIA_EPIA_N
- bool "via epia-n"
- select ARCH_X86
- select CPU_VIA_C3
- select NORTHBRIDGE_VIA_CN400
+ bool "EPIA-N"
+ select ARCH_X86
+ select CPU_VIA_C3
+ select NORTHBRIDGE_VIA_CN400
select SOUTHBRIDGE_VIA_VT8237R
- select SUPERIO_WINBOND_W83697HF
- select PIRQ_TABLE
+ select SUPERIO_WINBOND_W83697HF
+ select PIRQ_TABLE
select USE_PRINTK_IN_CAR
- help
- VIA Epia-n mainboards
+ help
+ VIA EPIA-N mainboard.
config MAINBOARD_DIR
string
diff --git a/src/mainboard/via/epia-n/Makefile.inc b/src/mainboard/via/epia-n/Makefile.inc
index 9cc015ff9732..a100d9ac3163 100644
--- a/src/mainboard/via/epia-n/Makefile.inc
+++ b/src/mainboard/via/epia-n/Makefile.inc
@@ -21,8 +21,8 @@
initobj-y += crt0.o
obj-y += mainboard.o
-obj-$(CONFIG_HAVE_PIRQ_TABLE) += irq_tables.o
-obj-$(CONFIG_HAVE_MP_TABLE) += object mptable.o
+obj-$(CONFIG_HAVE_PIRQ_TABLE) += irq_tables.o
+obj-$(CONFIG_HAVE_MP_TABLE) += mptable.o
obj-$(CONFIG_HAVE_ACPI_TABLES) += dsdt.o
obj-$(CONFIG_HAVE_ACPI_TABLES) += acpi_tables.o
diff --git a/src/mainboard/via/vt8454c/Kconfig b/src/mainboard/via/vt8454c/Kconfig
index bdd582759c2a..7433e0f934bf 100644
--- a/src/mainboard/via/vt8454c/Kconfig
+++ b/src/mainboard/via/vt8454c/Kconfig
@@ -1,15 +1,15 @@
config BOARD_VIA_VT8454C
- bool "vt8454c"
- select ARCH_X86
- select CPU_VIA_C7
- select NORTHBRIDGE_VIA_CX700
-# select SOUTHBRIDGE_INTEL_I82801GX
- select SUPERIO_VIA_VT1211
- select PIRQ_TABLE
+ bool "VT8454c"
+ select ARCH_X86
+ select CPU_VIA_C7
+ select NORTHBRIDGE_VIA_CX700
+# select SOUTHBRIDGE_INTEL_I82801GX
+ select SUPERIO_VIA_VT1211
+ select PIRQ_TABLE
# select MMCONF_SUPPORT
select USE_PRINTK_IN_CAR
- help
- Kontron 986LCD-M Series mainboards
+ help
+ VIA VT8454C mainboard.
config MAINBOARD_DIR
string
diff --git a/src/mainboard/via/vt8454c/Makefile.inc b/src/mainboard/via/vt8454c/Makefile.inc
index d74c632497dc..f33336bd6c67 100644
--- a/src/mainboard/via/vt8454c/Makefile.inc
+++ b/src/mainboard/via/vt8454c/Makefile.inc
@@ -1,12 +1,11 @@
##
## This file is part of the coreboot project.
-##
+##
## Copyright (C) 2007-2009 coresystems GmbH
##
## This program is free software; you can redistribute it and/or
## modify it under the terms of the GNU General Public License as
-## published by the Free Software Foundation; version 2 of
-## the License.
+## published by the Free Software Foundation; version 2 of the License.
##
## This program is distributed in the hope that it will be useful,
## but WITHOUT ANY WARRANTY; without even the implied warranty of
@@ -15,21 +14,16 @@
##
## You should have received a copy of the GNU General Public License
## along with this program; if not, write to the Free Software
-## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston,
-## MA 02110-1301 USA
-##
-
+## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-## This mainboard requires DCACHE_AS_RAM enabled. It won't work without.
-##
-driver-y += mainboard.o
+driver-y += mainboard.o
obj-$(CONFIG_HAVE_MP_TABLE) += mptable.o
obj-$(CONFIG_HAVE_PIRQ_TABLE) += irq_tables.o
-obj-$(CONFIG_HAVE_ACPI_TABLES) += dsdt.o
-obj-$(CONFIG_HAVE_ACPI_TABLES) += acpi_tables.o
-obj-$(CONFIG_HAVE_ACPI_TABLES) += fadt.o
+obj-$(CONFIG_HAVE_ACPI_TABLES) += dsdt.o
+obj-$(CONFIG_HAVE_ACPI_TABLES) += acpi_tables.o
+obj-$(CONFIG_HAVE_ACPI_TABLES) += fadt.o
initobj-y += crt0.o
# FIXME in $(top)/Makefile
diff --git a/src/northbridge/amd/amdk8/Kconfig b/src/northbridge/amd/amdk8/Kconfig
index a7e56d0e4685..11ad90c120dc 100644
--- a/src/northbridge/amd/amdk8/Kconfig
+++ b/src/northbridge/amd/amdk8/Kconfig
@@ -29,5 +29,4 @@ config HAVE_HIGH_TABLES
bool
default y
-
source src/northbridge/amd/amdk8/root_complex/Kconfig
diff --git a/src/northbridge/amd/amdk8/Makefile.inc b/src/northbridge/amd/amdk8/Makefile.inc
index 462db4815dce..8a12d77f10e3 100644
--- a/src/northbridge/amd/amdk8/Makefile.inc
+++ b/src/northbridge/amd/amdk8/Makefile.inc
@@ -5,10 +5,11 @@
driver-y += northbridge.o
driver-y += misc_control.o
-obj-y += get_sblk_pci1234.o
-obj-$(CONFIG_HAVE_ACPI_TABLES) += amdk8_acpi.o
+obj-y += get_sblk_pci1234.o
+obj-$(CONFIG_HAVE_ACPI_TABLES) += amdk8_acpi.o
-# Not sure what to do with these yet. How did raminit_test even work? Should be a target in -y form
+# Not sure what to do with these yet. How did raminit_test even work?
+# Should be a target in -y form.
#if CONFIG_K8_REV_F_SUPPORT
#
#makerule raminit_test
@@ -27,4 +28,3 @@ obj-$(CONFIG_HAVE_ACPI_TABLES) += amdk8_acpi.o
#
#end
#
-#
diff --git a/src/northbridge/via/cx700/Makefile.inc b/src/northbridge/via/cx700/Makefile.inc
index e616fecab3d7..85e984a44356 100644
--- a/src/northbridge/via/cx700/Makefile.inc
+++ b/src/northbridge/via/cx700/Makefile.inc
@@ -1,3 +1,4 @@
+##
## This file is part of the coreboot project.
##
## Copyright (C) 2007-2009 coresystems GmbH
@@ -14,6 +15,7 @@
## You should have received a copy of the GNU General Public License
## along with this program; if not, write to the Free Software
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+##
obj-y += cx700_reset.o
obj-y += northbridge.o
diff --git a/src/pc80/Makefile.inc b/src/pc80/Makefile.inc
index bb105241f123..0ef591497abb 100644
--- a/src/pc80/Makefile.inc
+++ b/src/pc80/Makefile.inc
@@ -1,9 +1,9 @@
-obj-y += mc146818rtc.o
-obj-y += isa-dma.o
-obj-y += i8259.o
-#obj-y += udelay_timer2.o CONFIG_UDELAY_TIMER2
-obj-$(CONFIG_UDELAY_IO) += udelay_io.o
-obj-y += keyboard.o
+obj-y += mc146818rtc.o
+obj-y += isa-dma.o
+obj-y += i8259.o
+#obj-y += udelay_timer2.o CONFIG_UDELAY_TIMER2
+obj-$(CONFIG_UDELAY_IO) += udelay_io.o
+obj-y += keyboard.o
-#initobj-y += serial.o
+#initobj-y += serial.o
subdirs-y += vga
diff --git a/src/southbridge/amd/Makefile.inc b/src/southbridge/amd/Makefile.inc
index a172c5d72d32..d0d196fabb46 100644
--- a/src/southbridge/amd/Makefile.inc
+++ b/src/southbridge/amd/Makefile.inc
@@ -4,7 +4,6 @@ subdirs-$(CONFIG_SOUTHBRIDGE_AMD_AMD8112) += amd8132
subdirs-$(CONFIG_SOUTHBRIDGE_AMD_AMD8151) += amd8151
subdirs-$(CONFIG_SOUTHBRIDGE_AMD_RS690) += rs690
subdirs-$(CONFIG_SOUTHBRIDGE_AMD_SB600) += sb600
-
subdirs-$(CONFIG_SOUTHBRIDGE_AMD_CS5530) += cs5530
subdirs-$(CONFIG_SOUTHBRIDGE_AMD_CS5535) += cs5535
subdirs-$(CONFIG_SOUTHBRIDGE_AMD_CS5536) += cs5536
diff --git a/src/southbridge/intel/i82371eb/Kconfig b/src/southbridge/intel/i82371eb/Kconfig
index e1c204440304..03d4649ac548 100644
--- a/src/southbridge/intel/i82371eb/Kconfig
+++ b/src/southbridge/intel/i82371eb/Kconfig
@@ -1,3 +1,3 @@
config SOUTHBRIDGE_INTEL_I82371EB
- boolean
+ bool
diff --git a/src/southbridge/intel/i82371eb/Makefile.inc b/src/southbridge/intel/i82371eb/Makefile.inc
index 1ddd4c96b3bf..db55eaef2dec 100644
--- a/src/southbridge/intel/i82371eb/Makefile.inc
+++ b/src/southbridge/intel/i82371eb/Makefile.inc
@@ -17,6 +17,7 @@
## along with this program; if not, write to the Free Software
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
+
driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82371EB) += i82371eb.o
driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82371EB) += i82371eb_isa.o
driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82371EB) += i82371eb_ide.o
diff --git a/src/southbridge/intel/i82801gx/Makefile.inc b/src/southbridge/intel/i82801gx/Makefile.inc
index 387ed100d71e..177065445228 100644
--- a/src/southbridge/intel/i82801gx/Makefile.inc
+++ b/src/southbridge/intel/i82801gx/Makefile.inc
@@ -17,24 +17,24 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_ac97.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_azalia.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_ide.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_lpc.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_nic.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_pci.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_pcie.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_sata.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_smbus.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_usb.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_usb_ehci.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_ac97.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_azalia.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_ide.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_lpc.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_nic.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_pci.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_pcie.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_sata.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_smbus.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_usb.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_usb_ehci.o
-object-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_reset.o
-object-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_watchdog.o
+object-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_reset.o
+object-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_watchdog.o
# arg. How does the linux kconfig handle compound conditionals?
ifeq ($(CONFIG_HAVE_SMI_HANDLER),y)
- object-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_smi.o
- smmobj-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_smihandler.o
+ object-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_smi.o
+ smmobj-$(CONFIG_SOUTHBRIDGE_INTEL_I82801GX) += i82801gx_smihandler.o
endif
diff --git a/src/southbridge/intel/i82801xx/Makefile.inc b/src/southbridge/intel/i82801xx/Makefile.inc
index 337b4e88f7e5..25b76ce4a031 100644
--- a/src/southbridge/intel/i82801xx/Makefile.inc
+++ b/src/southbridge/intel/i82801xx/Makefile.inc
@@ -18,19 +18,19 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_ac97.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_ide.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_lpc.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_nic.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_pci.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_sata.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_smbus.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_usb.o
-driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_usb_ehci.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_ac97.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_ide.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_lpc.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_nic.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_pci.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_sata.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_smbus.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_usb.o
+driver-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_usb_ehci.o
-object-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_reset.o
-object-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_watchdog.o
+object-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_reset.o
+object-$(CONFIG_SOUTHBRIDGE_INTEL_I82801XX) += i82801xx_watchdog.o
# TODO: What about cmos_failover.c?
diff --git a/src/southbridge/nvidia/ck804/Makefile.inc b/src/southbridge/nvidia/ck804/Makefile.inc
index 13073cf82c19..7c4ea9b7ba5e 100644
--- a/src/southbridge/nvidia/ck804/Makefile.inc
+++ b/src/southbridge/nvidia/ck804/Makefile.inc
@@ -10,6 +10,7 @@ driver-y += ck804_nic.o
driver-y += ck804_pci.o
driver-y += ck804_pcie.o
driver-y += ck804_ht.o
+
obj-y += ck804_reset.o
-obj-$(CONFIG_HAVE_ACPI_TABLES) +=ck804_fadt.o
+obj-$(CONFIG_HAVE_ACPI_TABLES) += ck804_fadt.o
diff --git a/src/southbridge/nvidia/mcp55/Makefile.inc b/src/southbridge/nvidia/mcp55/Makefile.inc
index e862ff848c0d..c7f8396cc967 100644
--- a/src/southbridge/nvidia/mcp55/Makefile.inc
+++ b/src/southbridge/nvidia/mcp55/Makefile.inc
@@ -10,6 +10,7 @@ driver-y += mcp55_sata.o
driver-y += mcp55_smbus.o
driver-y += mcp55_usb2.o
driver-y += mcp55_usb.o
+
driver-$(CONFIG_HAVE_ACPI_TABLES) += mcp55_fadt.o
obj-y += mcp55_reset.o
diff --git a/src/southbridge/via/vt8237r/Makefile.inc b/src/southbridge/via/vt8237r/Makefile.inc
index c1b743d14a58..1eb7cba672ae 100644
--- a/src/southbridge/via/vt8237r/Makefile.inc
+++ b/src/southbridge/via/vt8237r/Makefile.inc
@@ -17,11 +17,11 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += vt8237r.o
-driver-y += vt8237_ctrl.o
-driver-y += vt8237r_ide.o
-driver-y += vt8237r_lpc.o
-driver-y += vt8237r_sata.o
-driver-y += vt8237r_usb.o
-driver-y += vt8237r_nic.o
+driver-y += vt8237r.o
+driver-y += vt8237_ctrl.o
+driver-y += vt8237r_ide.o
+driver-y += vt8237r_lpc.o
+driver-y += vt8237r_sata.o
+driver-y += vt8237r_usb.o
+driver-y += vt8237r_nic.o
obj-$(CONFIG_HAVE_ACPI_TABLES) += vt8237_fadt.o
diff --git a/src/superio/Makefile.inc b/src/superio/Makefile.inc
index c6f088837aaa..d662a3fb793f 100644
--- a/src/superio/Makefile.inc
+++ b/src/superio/Makefile.inc
@@ -1,9 +1,8 @@
-
subdirs-y += fintek
subdirs-y += intel
subdirs-y += ite
subdirs-y += nsc
-#subdirs-y += serverengine
+# subdirs-y += serverengines
subdirs-y += smsc
subdirs-y += via
subdirs-y += winbond
diff --git a/src/superio/fintek/f71805f/Makefile.inc b/src/superio/fintek/f71805f/Makefile.inc
index 8dc10a63d423..d56723dc4db3 100644
--- a/src/superio/fintek/f71805f/Makefile.inc
+++ b/src/superio/fintek/f71805f/Makefile.inc
@@ -19,5 +19,5 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_FINTEK_F71805F) += superio.o
+obj-$(CONFIG_SUPERIO_FINTEK_F71805F) += superio.o
diff --git a/src/superio/intel/Kconfig b/src/superio/intel/Kconfig
index 39652b0fbb1b..a7aca7d35d7f 100644
--- a/src/superio/intel/Kconfig
+++ b/src/superio/intel/Kconfig
@@ -1,2 +1,2 @@
-config SUPERIO_FINTEK_I3100
+config SUPERIO_INTEL_I3100
bool
diff --git a/src/superio/intel/i3100/Makefile.inc b/src/superio/intel/i3100/Makefile.inc
index 9cf0033acd0f..e622b7205b63 100644
--- a/src/superio/intel/i3100/Makefile.inc
+++ b/src/superio/intel/i3100/Makefile.inc
@@ -19,5 +19,5 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_INTEL_I3100) += superio.o
+obj-$(CONFIG_SUPERIO_INTEL_I3100) += superio.o
diff --git a/src/superio/ite/it8661f/Makefile.inc b/src/superio/ite/it8661f/Makefile.inc
index d37f3ea637dc..8a17ae2c8631 100644
--- a/src/superio/ite/it8661f/Makefile.inc
+++ b/src/superio/ite/it8661f/Makefile.inc
@@ -19,5 +19,5 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_ITE_IT8661F) += superio.o
+obj-$(CONFIG_SUPERIO_ITE_IT8661F) += superio.o
diff --git a/src/superio/ite/it8671f/Makefile.inc b/src/superio/ite/it8671f/Makefile.inc
index 6f047caed3f8..32c26227de79 100644
--- a/src/superio/ite/it8671f/Makefile.inc
+++ b/src/superio/ite/it8671f/Makefile.inc
@@ -19,5 +19,5 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_ITE_IT8671F) += superio.o
+obj-$(CONFIG_SUPERIO_ITE_IT8671F) += superio.o
diff --git a/src/superio/ite/it8673f/Makefile.inc b/src/superio/ite/it8673f/Makefile.inc
index 4d89bcec97d8..f4ee01cec6c6 100644
--- a/src/superio/ite/it8673f/Makefile.inc
+++ b/src/superio/ite/it8673f/Makefile.inc
@@ -19,5 +19,5 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_ITE_IT8673F) += superio.o
+obj-$(CONFIG_SUPERIO_ITE_IT8673F) += superio.o
diff --git a/src/superio/ite/it8705f/Makefile.inc b/src/superio/ite/it8705f/Makefile.inc
index db495c9f1a51..468c0e8aec25 100644
--- a/src/superio/ite/it8705f/Makefile.inc
+++ b/src/superio/ite/it8705f/Makefile.inc
@@ -19,5 +19,5 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_ITE_IT8705F) += superio.o
+obj-$(CONFIG_SUPERIO_ITE_IT8705F) += superio.o
diff --git a/src/superio/ite/it8712f/Makefile.inc b/src/superio/ite/it8712f/Makefile.inc
index 7f293b485d64..898c87906ef7 100644
--- a/src/superio/ite/it8712f/Makefile.inc
+++ b/src/superio/ite/it8712f/Makefile.inc
@@ -19,5 +19,5 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_ITE_IT8712F) += superio.o
+obj-$(CONFIG_SUPERIO_ITE_IT8712F) += superio.o
diff --git a/src/superio/ite/it8716f/Makefile.inc b/src/superio/ite/it8716f/Makefile.inc
index bf0a70c79ad0..cb7ac32c4076 100644
--- a/src/superio/ite/it8716f/Makefile.inc
+++ b/src/superio/ite/it8716f/Makefile.inc
@@ -19,5 +19,5 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_ITE_IT8716F) += superio.o
+obj-$(CONFIG_SUPERIO_ITE_IT8716F) += superio.o
diff --git a/src/superio/ite/it8718f/Makefile.inc b/src/superio/ite/it8718f/Makefile.inc
index 00ef7443b6f2..9b28eb92fb19 100644
--- a/src/superio/ite/it8718f/Makefile.inc
+++ b/src/superio/ite/it8718f/Makefile.inc
@@ -19,5 +19,5 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_ITE_IT8718F) += superio.o
+obj-$(CONFIG_SUPERIO_ITE_IT8718F) += superio.o
diff --git a/src/superio/nsc/pc8374/Makefile.inc b/src/superio/nsc/pc8374/Makefile.inc
index d001b57c42bd..e1fd7ce40252 100644
--- a/src/superio/nsc/pc8374/Makefile.inc
+++ b/src/superio/nsc/pc8374/Makefile.inc
@@ -1,2 +1,2 @@
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC8374) += superio.o
+obj-$(CONFIG_SUPERIO_NSC_PC8374) += superio.o
diff --git a/src/superio/nsc/pc87309/Makefile.inc b/src/superio/nsc/pc87309/Makefile.inc
index 9fde44e0c6dd..204f9e2bb31f 100644
--- a/src/superio/nsc/pc87309/Makefile.inc
+++ b/src/superio/nsc/pc87309/Makefile.inc
@@ -19,5 +19,5 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC87309) += superio.o
+obj-$(CONFIG_SUPERIO_NSC_PC87309) += superio.o
diff --git a/src/superio/nsc/pc87351/Makefile.inc b/src/superio/nsc/pc87351/Makefile.inc
index 93206adbaa9e..047842d85217 100644
--- a/src/superio/nsc/pc87351/Makefile.inc
+++ b/src/superio/nsc/pc87351/Makefile.inc
@@ -1,2 +1,2 @@
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC87351) += superio.o
+obj-$(CONFIG_SUPERIO_NSC_PC87351) += superio.o
diff --git a/src/superio/nsc/pc87360/Makefile.inc b/src/superio/nsc/pc87360/Makefile.inc
index 0cb7abc104ca..823f7105c85f 100644
--- a/src/superio/nsc/pc87360/Makefile.inc
+++ b/src/superio/nsc/pc87360/Makefile.inc
@@ -1,2 +1,2 @@
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC87360) += superio.o
+obj-$(CONFIG_SUPERIO_NSC_PC87360) += superio.o
diff --git a/src/superio/nsc/pc87366/Makefile.inc b/src/superio/nsc/pc87366/Makefile.inc
index 7251d8cf8bcc..90bf08f7ba49 100644
--- a/src/superio/nsc/pc87366/Makefile.inc
+++ b/src/superio/nsc/pc87366/Makefile.inc
@@ -1,2 +1,2 @@
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC87366) += superio.o
+obj-$(CONFIG_SUPERIO_NSC_PC87366) += superio.o
diff --git a/src/superio/nsc/pc87417/Makefile.inc b/src/superio/nsc/pc87417/Makefile.inc
index 65727440a11b..da23083bde0a 100644
--- a/src/superio/nsc/pc87417/Makefile.inc
+++ b/src/superio/nsc/pc87417/Makefile.inc
@@ -1,2 +1,2 @@
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC87417) += superio.o
+obj-$(CONFIG_SUPERIO_NSC_PC87417) += superio.o
diff --git a/src/superio/nsc/pc87427/Makefile.inc b/src/superio/nsc/pc87427/Makefile.inc
index a5e47bac233b..27c10ee88264 100644
--- a/src/superio/nsc/pc87427/Makefile.inc
+++ b/src/superio/nsc/pc87427/Makefile.inc
@@ -1,2 +1,2 @@
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC87427) += superio.o
+obj-$(CONFIG_SUPERIO_NSC_PC87427) += superio.o
diff --git a/src/superio/nsc/pc97307/Makefile.inc b/src/superio/nsc/pc97307/Makefile.inc
index 548504c64522..40d962f0e483 100644
--- a/src/superio/nsc/pc97307/Makefile.inc
+++ b/src/superio/nsc/pc97307/Makefile.inc
@@ -1,2 +1,2 @@
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC97307) += superio.o
+obj-$(CONFIG_SUPERIO_NSC_PC97307) += superio.o
diff --git a/src/superio/nsc/pc97317/Makefile.inc b/src/superio/nsc/pc97317/Makefile.inc
index feda33b856b4..d77f0c22f76f 100644
--- a/src/superio/nsc/pc97317/Makefile.inc
+++ b/src/superio/nsc/pc97317/Makefile.inc
@@ -1,2 +1,2 @@
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC97317) += superio.o
+obj-$(CONFIG_SUPERIO_NSC_PC97317) += superio.o
diff --git a/src/superio/serverengines/Kconfig b/src/superio/serverengines/Kconfig
index 5fb230406753..dfb803f9922a 100644
--- a/src/superio/serverengines/Kconfig
+++ b/src/superio/serverengines/Kconfig
@@ -1,2 +1,2 @@
-config SERVERENGINES_ITE_PILOT
+config SUPERIO_SERVERENGINES_PILOT
bool
diff --git a/src/superio/smsc/fdc37m60x/Makefile.inc b/src/superio/smsc/fdc37m60x/Makefile.inc
index a2bf48097fd5..2ba5572bcc61 100644
--- a/src/superio/smsc/fdc37m60x/Makefile.inc
+++ b/src/superio/smsc/fdc37m60x/Makefile.inc
@@ -19,5 +19,5 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_SMSC_FDC37M60X) += superio.o
+obj-$(CONFIG_SUPERIO_SMSC_FDC37M60X) += superio.o
diff --git a/src/superio/smsc/lpc47b272/Makefile.inc b/src/superio/smsc/lpc47b272/Makefile.inc
index 031d5df8ca6a..b63e6835f1e7 100644
--- a/src/superio/smsc/lpc47b272/Makefile.inc
+++ b/src/superio/smsc/lpc47b272/Makefile.inc
@@ -19,5 +19,5 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_SMSC_DEVICE) += superio.o
+obj-$(CONFIG_SUPERIO_SMSC_DEVICE) += superio.o
diff --git a/src/superio/smsc/lpc47b397/Makefile.inc b/src/superio/smsc/lpc47b397/Makefile.inc
index ff7f93f41249..79145f26972a 100644
--- a/src/superio/smsc/lpc47b397/Makefile.inc
+++ b/src/superio/smsc/lpc47b397/Makefile.inc
@@ -1,2 +1,2 @@
#config chip.h
-obj-$(CONFIG_SUPERIO_SMSC_LPC47B397) += superio.o
+obj-$(CONFIG_SUPERIO_SMSC_LPC47B397) += superio.o
diff --git a/src/superio/smsc/lpc47m10x/Makefile.inc b/src/superio/smsc/lpc47m10x/Makefile.inc
index 47cbf6b805b4..8a51d63445ed 100644
--- a/src/superio/smsc/lpc47m10x/Makefile.inc
+++ b/src/superio/smsc/lpc47m10x/Makefile.inc
@@ -1,2 +1,2 @@
#config chip.h
-obj-$(CONFIG_SUPERIO_SMSC_LPC47M10X) += superio.o
+obj-$(CONFIG_SUPERIO_SMSC_LPC47M10X) += superio.o
diff --git a/src/superio/smsc/lpc47n217/Makefile.inc b/src/superio/smsc/lpc47n217/Makefile.inc
index edde7624dcb0..fa7729d809f6 100644
--- a/src/superio/smsc/lpc47n217/Makefile.inc
+++ b/src/superio/smsc/lpc47n217/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_SMSC_LPC47N217) += superio.o
+obj-$(CONFIG_SUPERIO_SMSC_LPC47N217) += superio.o
diff --git a/src/superio/smsc/smscsuperio/Makefile.inc b/src/superio/smsc/smscsuperio/Makefile.inc
index f5d42787a02c..f1e24ab9de6e 100644
--- a/src/superio/smsc/smscsuperio/Makefile.inc
+++ b/src/superio/smsc/smscsuperio/Makefile.inc
@@ -19,5 +19,5 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_SMSC_SMSCSUPERIO) += superio.o
+obj-$(CONFIG_SUPERIO_SMSC_SMSCSUPERIO) += superio.o
diff --git a/src/superio/via/vt1211/Makefile.inc b/src/superio/via/vt1211/Makefile.inc
index 827c9f9d3a0d..27ff73b48a2f 100644
--- a/src/superio/via/vt1211/Makefile.inc
+++ b/src/superio/via/vt1211/Makefile.inc
@@ -1,2 +1,2 @@
#config chip.h
-obj-$(CONFIG_SUPERIO_VIA_VT1211) += vt1211.o
+obj-$(CONFIG_SUPERIO_VIA_VT1211) += vt1211.o
diff --git a/src/superio/winbond/Kconfig b/src/superio/winbond/Kconfig
index 24825a7f9b41..63168cfa29d6 100644
--- a/src/superio/winbond/Kconfig
+++ b/src/superio/winbond/Kconfig
@@ -1,18 +1,18 @@
config SUPERIO_WINBOND_W83627DHG
- boolean
+ bool
config SUPERIO_WINBOND_W83627EHG
- boolean
+ bool
config SUPERIO_WINBOND_W83627HF
- boolean
+ bool
config SUPERIO_WINBOND_W83627THF
- boolean
+ bool
config SUPERIO_WINBOND_W83627THG
- boolean
+ bool
config SUPERIO_WINBOND_W83627UHG
- boolean
+ bool
config SUPERIO_WINBOND_W83697HF
- boolean
+ bool
config SUPERIO_WINBOND_W83977F
- boolean
+ bool
config SUPERIO_WINBOND_W83977TF
- boolean
+ bool
diff --git a/src/superio/winbond/w83627dhg/Makefile.inc b/src/superio/winbond/w83627dhg/Makefile.inc
index 168d803ac10f..cdec98d0b8c7 100644
--- a/src/superio/winbond/w83627dhg/Makefile.inc
+++ b/src/superio/winbond/w83627dhg/Makefile.inc
@@ -19,5 +19,5 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83627DHG) += superio.o
+obj-$(CONFIG_SUPERIO_WINBOND_W83627DHG) += superio.o
diff --git a/src/superio/winbond/w83627ehg/Makefile.inc b/src/superio/winbond/w83627ehg/Makefile.inc
index d52c5ebc94e7..9b56268aef12 100644
--- a/src/superio/winbond/w83627ehg/Makefile.inc
+++ b/src/superio/winbond/w83627ehg/Makefile.inc
@@ -20,5 +20,5 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83627EHG) += superio.o
+obj-$(CONFIG_SUPERIO_WINBOND_W83627EHG) += superio.o
diff --git a/src/superio/winbond/w83627hf/Makefile.inc b/src/superio/winbond/w83627hf/Makefile.inc
index 7f393c166001..4ecaa404ee01 100644
--- a/src/superio/winbond/w83627hf/Makefile.inc
+++ b/src/superio/winbond/w83627hf/Makefile.inc
@@ -1,2 +1,2 @@
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83627HF) += superio.o
+obj-$(CONFIG_SUPERIO_WINBOND_W83627HF) += superio.o
diff --git a/src/superio/winbond/w83627thf/Makefile.inc b/src/superio/winbond/w83627thf/Makefile.inc
index 2c74921e14c2..6a222c046fab 100644
--- a/src/superio/winbond/w83627thf/Makefile.inc
+++ b/src/superio/winbond/w83627thf/Makefile.inc
@@ -1,2 +1,2 @@
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83627THF) += superio.o
+obj-$(CONFIG_SUPERIO_WINBOND_W83627THF) += superio.o
diff --git a/src/superio/winbond/w83627thg/Makefile.inc b/src/superio/winbond/w83627thg/Makefile.inc
index ea60c2fa2075..c14898fb1a48 100644
--- a/src/superio/winbond/w83627thg/Makefile.inc
+++ b/src/superio/winbond/w83627thg/Makefile.inc
@@ -1,2 +1,2 @@
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83627THG) += superio.o
+obj-$(CONFIG_SUPERIO_WINBOND_W83627THG) += superio.o
diff --git a/src/superio/winbond/w83627uhg/Makefile.inc b/src/superio/winbond/w83627uhg/Makefile.inc
index 58dd621234b9..6fe6f5be552f 100644
--- a/src/superio/winbond/w83627uhg/Makefile.inc
+++ b/src/superio/winbond/w83627uhg/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83627UHG) += superio.o
+obj-$(CONFIG_SUPERIO_WINBOND_W83627UHG) += superio.o
diff --git a/src/superio/winbond/w83697hf/Makefile.inc b/src/superio/winbond/w83697hf/Makefile.inc
index 72fb90dd9a26..31f9c12e7125 100644
--- a/src/superio/winbond/w83697hf/Makefile.inc
+++ b/src/superio/winbond/w83697hf/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83697HF) += superio.o
+obj-$(CONFIG_SUPERIO_WINBOND_W83697HF) += superio.o
diff --git a/src/superio/winbond/w83977f/Makefile.inc b/src/superio/winbond/w83977f/Makefile.inc
index 7f394cbb3def..2a91ad0a16f3 100644
--- a/src/superio/winbond/w83977f/Makefile.inc
+++ b/src/superio/winbond/w83977f/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83977F) += superio.o
+obj-$(CONFIG_SUPERIO_WINBOND_W83977F) += superio.o
diff --git a/src/superio/winbond/w83977tf/Makefile.inc b/src/superio/winbond/w83977tf/Makefile.inc
index 07fc338b1caf..dc3cda711c1a 100644
--- a/src/superio/winbond/w83977tf/Makefile.inc
+++ b/src/superio/winbond/w83977tf/Makefile.inc
@@ -1,2 +1,2 @@
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83977TF) += superio.o
+obj-$(CONFIG_SUPERIO_WINBOND_W83977TF) += superio.o
diff --git a/util/cbfstool/Makefile.inc b/util/cbfstool/Makefile.inc
index 3350646a4a73..806088a83d1f 100644
--- a/util/cbfstool/Makefile.inc
+++ b/util/cbfstool/Makefile.inc
@@ -1,6 +1,3 @@
-#
-#
-#
ifdef POST_EVALUATION
@@ -36,4 +33,3 @@ endif
include $(top)/util/cbfstool/tools/Makefile.inc
-
diff --git a/util/x86emu/x86emu/Makefile.inc b/util/x86emu/x86emu/Makefile.inc
index 3a5e8fbaf5e8..2c5f7a7eb954 100644
--- a/util/x86emu/x86emu/Makefile.inc
+++ b/util/x86emu/x86emu/Makefile.inc
@@ -1,7 +1,7 @@
-obj-y += debug.o
-obj-y += decode.o
-obj-y += fpu.o
-obj-y += ops.o
-obj-y += ops2.o
-obj-y += prim_ops.o
-obj-y += sys.o
+obj-y += debug.o
+obj-y += decode.o
+obj-y += fpu.o
+obj-y += ops.o
+obj-y += ops2.o
+obj-y += prim_ops.o
+obj-y += sys.o