summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorPatrick Georgi <patrick.georgi@coresystems.de>2010-09-30 16:55:02 +0000
committerPatrick Georgi <patrick.georgi@coresystems.de>2010-09-30 16:55:02 +0000
commit8463dd9db0dc2ce02423775d0eb62e28aa01e9f9 (patch)
tree71272406c062acdaf19586ea41b4223451f8ad71
parentd4d0a456d349002642c1c7e508f2729f1497ab96 (diff)
downloadcoreboot-8463dd9db0dc2ce02423775d0eb62e28aa01e9f9.tar.gz
coreboot-8463dd9db0dc2ce02423775d0eb62e28aa01e9f9.tar.bz2
coreboot-8463dd9db0dc2ce02423775d0eb62e28aa01e9f9.zip
Rename build system variables to be more intuitive, and
at the same time let the user specify sources instead of object files: - objs becomes ramstage-srcs - initobjs becomes romstage-srcs - driver becomes driver-srcs - smmobj becomes smm-srcs The user servicable parts are named accordingly: ramstage-y, romstage-y, driver-y, smm-y Also, the object file names are properly renamed now, using .ramstage.o, .romstage.o, .driver.o, .smm.o suffixes consistently. Remove stubbed out via/epia-m700 dsdt/ssdt files - they didn't easily fit in the build system and aren't useful anyway. Signed-off-by: Patrick Georgi <patrick.georgi@coresystems.de> Acked-by: Stefan Reinauer <stepan@coreystems.de> git-svn-id: svn://svn.coreboot.org/coreboot/trunk@5886 2b7e53f0-3cfb-0310-b3e9-8179ed1497e1
-rw-r--r--Makefile109
-rw-r--r--src/arch/i386/Makefile.bigbootblock.inc6
-rw-r--r--src/arch/i386/Makefile.bootblock.inc8
-rw-r--r--src/arch/i386/Makefile.inc49
-rw-r--r--src/arch/i386/boot/Makefile.inc22
-rw-r--r--src/arch/i386/lib/Makefile.inc22
-rw-r--r--src/boot/Makefile.inc4
-rw-r--r--src/console/Makefile.inc34
-rw-r--r--src/cpu/amd/dualcore/Makefile.inc2
-rw-r--r--src/cpu/amd/microcode/Makefile.inc2
-rw-r--r--src/cpu/amd/model_10xxx/Makefile.inc8
-rw-r--r--src/cpu/amd/model_fxx/Makefile.inc10
-rw-r--r--src/cpu/amd/model_gx1/Makefile.inc2
-rw-r--r--src/cpu/amd/model_gx2/Makefile.inc4
-rw-r--r--src/cpu/amd/model_lx/Makefile.inc4
-rw-r--r--src/cpu/amd/mtrr/Makefile.inc2
-rw-r--r--src/cpu/amd/quadcore/Makefile.inc2
-rw-r--r--src/cpu/amd/sc520/Makefile.inc2
-rw-r--r--src/cpu/amd/socket_754/Makefile.inc2
-rw-r--r--src/cpu/amd/socket_939/Makefile.inc2
-rw-r--r--src/cpu/amd/socket_940/Makefile.inc2
-rw-r--r--src/cpu/amd/socket_AM2/Makefile.inc2
-rw-r--r--src/cpu/amd/socket_AM2r2/Makefile.inc2
-rw-r--r--src/cpu/amd/socket_AM3/Makefile.inc2
-rw-r--r--src/cpu/amd/socket_ASB2/Makefile.inc2
-rw-r--r--src/cpu/amd/socket_F/Makefile.inc2
-rw-r--r--src/cpu/amd/socket_F_1207/Makefile.inc2
-rw-r--r--src/cpu/amd/socket_S1G1/Makefile.inc2
-rw-r--r--src/cpu/intel/bga956/Makefile.inc2
-rw-r--r--src/cpu/intel/ep80579/Makefile.inc4
-rw-r--r--src/cpu/intel/hyperthreading/Makefile.inc2
-rw-r--r--src/cpu/intel/microcode/Makefile.inc2
-rw-r--r--src/cpu/intel/model_1067x/Makefile.inc2
-rw-r--r--src/cpu/intel/model_106cx/Makefile.inc2
-rw-r--r--src/cpu/intel/model_68x/Makefile.inc2
-rw-r--r--src/cpu/intel/model_69x/Makefile.inc2
-rw-r--r--src/cpu/intel/model_6bx/Makefile.inc2
-rw-r--r--src/cpu/intel/model_6dx/Makefile.inc2
-rw-r--r--src/cpu/intel/model_6ex/Makefile.inc2
-rw-r--r--src/cpu/intel/model_6fx/Makefile.inc2
-rw-r--r--src/cpu/intel/model_6xx/Makefile.inc2
-rw-r--r--src/cpu/intel/model_f0x/Makefile.inc2
-rw-r--r--src/cpu/intel/model_f1x/Makefile.inc2
-rw-r--r--src/cpu/intel/model_f2x/Makefile.inc2
-rw-r--r--src/cpu/intel/model_f3x/Makefile.inc2
-rw-r--r--src/cpu/intel/model_f4x/Makefile.inc2
-rw-r--r--src/cpu/intel/slot_1/Makefile.inc2
-rw-r--r--src/cpu/intel/slot_2/Makefile.inc2
-rw-r--r--src/cpu/intel/socket_441/Makefile.inc2
-rw-r--r--src/cpu/intel/socket_FC_PGA370/Makefile.inc2
-rw-r--r--src/cpu/intel/socket_PGA370/Makefile.inc2
-rw-r--r--src/cpu/intel/socket_mFCBGA479/Makefile.inc2
-rw-r--r--src/cpu/intel/socket_mFCPGA478/Makefile.inc2
-rw-r--r--src/cpu/intel/socket_mPGA478/Makefile.inc2
-rw-r--r--src/cpu/intel/socket_mPGA479M/Makefile.inc4
-rw-r--r--src/cpu/intel/socket_mPGA603/Makefile.inc2
-rw-r--r--src/cpu/intel/socket_mPGA604/Makefile.inc2
-rw-r--r--src/cpu/intel/speedstep/Makefile.inc2
-rw-r--r--src/cpu/via/model_c3/Makefile.inc2
-rw-r--r--src/cpu/via/model_c7/Makefile.inc2
-rw-r--r--src/cpu/x86/cache/Makefile.inc2
-rw-r--r--src/cpu/x86/lapic/Makefile.inc8
-rw-r--r--src/cpu/x86/mtrr/Makefile.inc2
-rw-r--r--src/cpu/x86/name/Makefile.inc2
-rw-r--r--src/cpu/x86/pae/Makefile.inc2
-rw-r--r--src/cpu/x86/smm/Makefile.inc20
-rw-r--r--src/cpu/x86/tsc/Makefile.inc2
-rw-r--r--src/devices/Makefile.inc28
-rw-r--r--src/devices/oprom/Makefile.inc6
-rw-r--r--src/devices/oprom/x86emu/Makefile.inc14
-rw-r--r--src/devices/oprom/yabel/Makefile.inc16
-rw-r--r--src/devices/oprom/yabel/compat/Makefile.inc2
-rw-r--r--src/drivers/ati/ragexl/Makefile.inc2
-rw-r--r--src/drivers/dec/21143/Makefile.inc2
-rw-r--r--src/drivers/generic/debug/Makefile.inc2
-rw-r--r--src/drivers/sil/3114/Makefile.inc2
-rw-r--r--src/lib/Makefile.inc62
-rw-r--r--src/mainboard/amd/serengeti_cheetah/Makefile.inc2
-rw-r--r--src/mainboard/amd/serengeti_cheetah_fam10/Makefile.inc2
-rw-r--r--src/mainboard/broadcom/blast/Makefile.inc2
-rw-r--r--src/mainboard/emulation/qemu-x86/Makefile.inc2
-rw-r--r--src/mainboard/getac/p470/Makefile.inc6
-rw-r--r--src/mainboard/gigabyte/m57sli/Makefile.inc2
-rw-r--r--src/mainboard/hp/dl145_g1/Makefile.inc2
-rw-r--r--src/mainboard/ibase/mb899/Makefile.inc4
-rw-r--r--src/mainboard/intel/d945gclf/Makefile.inc4
-rw-r--r--src/mainboard/kontron/986lcd-m/Makefile.inc4
-rw-r--r--src/mainboard/msi/ms9282/Makefile.inc4
-rw-r--r--src/mainboard/rca/rm4100/Makefile.inc2
-rw-r--r--src/mainboard/roda/rk886ex/Makefile.inc8
-rw-r--r--src/mainboard/supermicro/h8dme/Makefile.inc2
-rw-r--r--src/mainboard/supermicro/x6dhe_g/Makefile.inc2
-rw-r--r--src/mainboard/supermicro/x6dhe_g2/Makefile.inc2
-rw-r--r--src/mainboard/technexion/tim5690/Makefile.inc6
-rw-r--r--src/mainboard/thomson/ip1000/Makefile.inc2
-rw-r--r--src/mainboard/tyan/s2881/Makefile.inc2
-rw-r--r--src/mainboard/tyan/s2892/Makefile.inc2
-rw-r--r--src/mainboard/via/epia-m700/Kconfig1
-rw-r--r--src/mainboard/via/epia-m700/Makefile.inc2
-rw-r--r--src/mainboard/via/epia-m700/dsdt.c24
-rw-r--r--src/mainboard/via/epia-m700/ssdt.c24
-rw-r--r--src/northbridge/amd/amdfam10/Makefile.inc22
-rw-r--r--src/northbridge/amd/amdk8/Makefile.inc10
-rw-r--r--src/northbridge/amd/gx1/Makefile.inc2
-rw-r--r--src/northbridge/amd/gx2/Makefile.inc6
-rw-r--r--src/northbridge/amd/lx/Makefile.inc6
-rw-r--r--src/northbridge/intel/e7501/Makefile.inc2
-rw-r--r--src/northbridge/intel/e7520/Makefile.inc10
-rw-r--r--src/northbridge/intel/e7525/Makefile.inc10
-rw-r--r--src/northbridge/intel/i3100/Makefile.inc6
-rw-r--r--src/northbridge/intel/i440bx/Makefile.inc2
-rw-r--r--src/northbridge/intel/i440lx/Makefile.inc2
-rw-r--r--src/northbridge/intel/i82810/Makefile.inc2
-rw-r--r--src/northbridge/intel/i82830/Makefile.inc6
-rw-r--r--src/northbridge/intel/i855/Makefile.inc2
-rw-r--r--src/northbridge/intel/i945/Makefile.inc6
-rw-r--r--src/northbridge/via/cn400/Makefile.inc8
-rw-r--r--src/northbridge/via/cn700/Makefile.inc6
-rw-r--r--src/northbridge/via/cx700/Makefile.inc12
-rw-r--r--src/northbridge/via/vt8601/Makefile.inc2
-rw-r--r--src/northbridge/via/vt8623/Makefile.inc4
-rw-r--r--src/northbridge/via/vx800/Makefile.inc8
-rw-r--r--src/pc80/Makefile.inc19
-rw-r--r--src/pc80/vga/Makefile.inc4
-rw-r--r--src/southbridge/amd/amd8111/Makefile.inc22
-rw-r--r--src/southbridge/amd/amd8131/Makefile.inc2
-rw-r--r--src/southbridge/amd/amd8132/Makefile.inc2
-rw-r--r--src/southbridge/amd/amd8151/Makefile.inc2
-rw-r--r--src/southbridge/amd/cs5530/Makefile.inc10
-rw-r--r--src/southbridge/amd/cs5535/Makefile.inc8
-rw-r--r--src/southbridge/amd/cs5536/Makefile.inc6
-rw-r--r--src/southbridge/amd/rs690/Makefile.inc10
-rw-r--r--src/southbridge/amd/rs780/Makefile.inc10
-rw-r--r--src/southbridge/amd/sb600/Makefile.inc20
-rw-r--r--src/southbridge/amd/sb700/Makefile.inc18
-rw-r--r--src/southbridge/broadcom/bcm21000/Makefile.inc2
-rw-r--r--src/southbridge/broadcom/bcm5780/Makefile.inc6
-rw-r--r--src/southbridge/broadcom/bcm5785/Makefile.inc14
-rw-r--r--src/southbridge/intel/esb6300/Makefile.inc22
-rw-r--r--src/southbridge/intel/i3100/Makefile.inc18
-rw-r--r--src/southbridge/intel/i82371eb/Makefile.inc14
-rw-r--r--src/southbridge/intel/i82801ax/Makefile.inc18
-rw-r--r--src/southbridge/intel/i82801bx/Makefile.inc24
-rw-r--r--src/southbridge/intel/i82801cx/Makefile.inc16
-rw-r--r--src/southbridge/intel/i82801dx/Makefile.inc20
-rw-r--r--src/southbridge/intel/i82801ex/Makefile.inc22
-rw-r--r--src/southbridge/intel/i82801gx/Makefile.inc32
-rw-r--r--src/southbridge/intel/i82870/Makefile.inc6
-rw-r--r--src/southbridge/intel/pxhd/Makefile.inc2
-rw-r--r--src/southbridge/nvidia/ck804/Makefile.inc28
-rw-r--r--src/southbridge/nvidia/mcp55/Makefile.inc28
-rw-r--r--src/southbridge/ricoh/rl5c476/Makefile.inc2
-rw-r--r--src/southbridge/sis/sis966/Makefile.inc22
-rw-r--r--src/southbridge/ti/pci1x2x/Makefile.inc2
-rw-r--r--src/southbridge/ti/pci7420/Makefile.inc4
-rw-r--r--src/southbridge/ti/pcixx12/Makefile.inc2
-rw-r--r--src/southbridge/via/k8t890/Makefile.inc18
-rw-r--r--src/southbridge/via/vt8231/Makefile.inc12
-rw-r--r--src/southbridge/via/vt8235/Makefile.inc10
-rw-r--r--src/southbridge/via/vt8237r/Makefile.inc16
-rw-r--r--src/superio/fintek/f71805f/Makefile.inc2
-rwxr-xr-xsrc/superio/fintek/f71859/Makefile.inc2
-rw-r--r--src/superio/fintek/f71863fg/Makefile.inc2
-rw-r--r--src/superio/intel/i3100/Makefile.inc2
-rw-r--r--src/superio/ite/it8661f/Makefile.inc2
-rw-r--r--src/superio/ite/it8671f/Makefile.inc2
-rw-r--r--src/superio/ite/it8673f/Makefile.inc2
-rw-r--r--src/superio/ite/it8705f/Makefile.inc2
-rw-r--r--src/superio/ite/it8712f/Makefile.inc2
-rw-r--r--src/superio/ite/it8716f/Makefile.inc2
-rw-r--r--src/superio/ite/it8718f/Makefile.inc2
-rw-r--r--src/superio/nsc/pc8374/Makefile.inc2
-rw-r--r--src/superio/nsc/pc87309/Makefile.inc2
-rw-r--r--src/superio/nsc/pc87351/Makefile.inc2
-rw-r--r--src/superio/nsc/pc87360/Makefile.inc2
-rw-r--r--src/superio/nsc/pc87366/Makefile.inc2
-rw-r--r--src/superio/nsc/pc87417/Makefile.inc2
-rw-r--r--src/superio/nsc/pc87427/Makefile.inc2
-rw-r--r--src/superio/nsc/pc97307/Makefile.inc2
-rw-r--r--src/superio/nsc/pc97317/Makefile.inc2
-rw-r--r--src/superio/renesas/m3885x/Makefile.inc2
-rw-r--r--src/superio/smsc/fdc37m60x/Makefile.inc2
-rw-r--r--src/superio/smsc/fdc37n972/Makefile.inc2
-rw-r--r--src/superio/smsc/lpc47b272/Makefile.inc2
-rw-r--r--src/superio/smsc/lpc47b397/Makefile.inc2
-rw-r--r--src/superio/smsc/lpc47m10x/Makefile.inc2
-rw-r--r--src/superio/smsc/lpc47m15x/Makefile.inc2
-rw-r--r--src/superio/smsc/lpc47n217/Makefile.inc2
-rw-r--r--src/superio/smsc/lpc47n227/Makefile.inc2
-rw-r--r--src/superio/smsc/sio10n268/Makefile.inc2
-rw-r--r--src/superio/smsc/smscsuperio/Makefile.inc2
-rw-r--r--src/superio/via/vt1211/Makefile.inc2
-rw-r--r--src/superio/winbond/w83627dhg/Makefile.inc2
-rw-r--r--src/superio/winbond/w83627ehg/Makefile.inc2
-rw-r--r--src/superio/winbond/w83627hf/Makefile.inc2
-rw-r--r--src/superio/winbond/w83627thf/Makefile.inc2
-rw-r--r--src/superio/winbond/w83627thg/Makefile.inc2
-rw-r--r--src/superio/winbond/w83627uhg/Makefile.inc2
-rw-r--r--src/superio/winbond/w83697hf/Makefile.inc2
-rw-r--r--src/superio/winbond/w83977f/Makefile.inc2
-rw-r--r--src/superio/winbond/w83977tf/Makefile.inc2
201 files changed, 662 insertions, 714 deletions
diff --git a/Makefile b/Makefile
index e5a861fad620..397e51d301c6 100644
--- a/Makefile
+++ b/Makefile
@@ -173,32 +173,35 @@ $(objutil)/%.o: $(objutil)/%.c
@printf " HOSTCC $(subst $(objutil)/,,$(@))\n"
$(HOSTCC) -MMD -I$(subst $(objutil)/,util/,$(dir $<)) -I$(dir $<) $(HOSTCFLAGS) -c -o $@ $<
-$(obj)/%.o: $(obj)/%.c $(obj)/config.h
+$(obj)/%.ramstage.o: $(obj)/%.c $(obj)/config.h
@printf " CC $(subst $(obj)/,,$(@))\n"
$(CC) -MMD $(CFLAGS) -c -o $@ $<
-objs:=$(obj)/mainboard/$(MAINBOARDDIR)/static.o
-initobjs:=
-drivers:=
-smmobjs:=
-types:=obj initobj driver smmobj
+ramstage-srcs:=$(obj)/mainboard/$(MAINBOARDDIR)/static.c
+romstage-srcs:=
+driver-srcs:=
+smm-srcs:=
+
+ramstage-objs:=
+romstage-objs:=
+driver-objs:=
+smm-objs:=
+types:=ramstage romstage driver smm
# Clean -y variables, include Makefile.inc
-# If $(3) is non-empty, add paths to files in X-y, and add them to Xs
+# Add paths to files in X-y to X-srcs
# Add subdirs-y to subdirs
includemakefiles= \
$(foreach type,$(2), $(eval $(type)-y:=)) \
$(eval subdirs-y:=) \
$(eval -include $(1)) \
$(foreach type,$(2), \
- $(eval $(type)s+= \
+ $(eval $(type)-srcs+= \
$$(subst $(top)/,, \
- $$(abspath $$(patsubst src/%, \
- $(obj)/%, \
- $$(addprefix $(dir $(1)),$$($(type)-y))))))) \
+ $$(abspath $$(addprefix $(dir $(1)),$$($(type)-y)))))) \
$(eval subdirs+=$$(subst $(CURDIR)/,,$$(abspath $$(addprefix $(dir $(1)),$$(subdirs-y)))))
-# For each path in $(subdirs) call includemakefiles, passing $(1) as $(3)
+# For each path in $(subdirs) call includemakefiles
# Repeat until subdirs is empty
evaluate_subdirs= \
$(eval cursubdirs:=$(subdirs)) \
@@ -211,70 +214,62 @@ evaluate_subdirs= \
subdirs:=$(PLATFORM-y) $(BUILD-y)
$(eval $(call evaluate_subdirs))
-initobjs:=$(addsuffix .initobj.o, $(basename $(initobjs)))
-drivers:=$(addsuffix .driver.o, $(basename $(drivers)))
-smmobjs:=$(addsuffix .smmobj.o, $(basename $(smmobjs)))
+src-to-obj=$(addsuffix .$(1).o, $(basename $(patsubst src/%, $(obj)/%, $($(1)-srcs))))
+
+ramstage-objs:=$(call src-to-obj,ramstage)
+romstage-objs:=$(call src-to-obj,romstage)
+driver-objs:=$(call src-to-obj,driver)
+smm-objs:=$(call src-to-obj,smm)
-allobjs:=$(foreach var, $(addsuffix s,$(types)), $($(var)))
+allsrcs:=$(foreach var, $(addsuffix -srcs,$(types)), $($(var)))
+allobjs:=$(foreach var, $(addsuffix -objs,$(types)), $($(var)))
alldirs:=$(sort $(abspath $(dir $(allobjs))))
-source_with_ext=$(patsubst $(obj)/%.o,src/%.$(1),$(allobjs))
-allsrc=$(wildcard $(call source_with_ext,c) $(call source_with_ext,S))
-define objs_asl_template
-$(obj)/$(1)%.o: src/$(1)%.asl
+define ramstage-objs_asl_template
+$(obj)/$(1).ramstage.o: src/$(1).asl
@printf " IASL $$(subst $(top)/,,$$(@))\n"
$(CPP) -D__ACPI__ -P -include $(abspath $(obj)/config.h) -I$(src) -I$(src)/mainboard/$(MAINBOARDDIR) $$< -o $$(basename $$@).asl
- iasl -p $$(basename $$@) -tc $$(basename $$@).asl
- mv $$(basename $$@).hex $$(basename $$@).c
- $(CC) $$(CFLAGS) $$(if $$(subst dsdt,,$$(basename $$(notdir $$@))), -DAmlCode=AmlCode_$$(basename $$(notdir $$@))) -c -o $$@ $$(basename $$@).c
+ iasl -p $$(obj)/$(1) -tc $$(basename $$@).asl
+ mv $$(obj)/$(1).hex $$(basename $$@).c
+ $(CC) $$(CFLAGS) $$(if $$(subst dsdt,,$$(basename $$(notdir $(1)))), -DAmlCode=AmlCode_$$(basename $$(notdir $(1)))) -c -o $$@ $$(basename $$@).c
# keep %.o: %.c rule from catching the temporary .c file after a make clean
mv $$(basename $$@).c $$(basename $$@).hex
endef
# macro to define template macros that are used by use_template macro
define create_cc_template
-# $1 obj class (objs, initobjs, ...)
+# $1 obj class (ramstage, romstage, driver, smm)
# $2 source suffix (c, S)
-# $3 .o infix ("" ".initobj", ...)
-# $4 additional compiler flags
-de$(EMPTY)fine $(1)_$(2)_template
-$(obj)/$$(1)%$(3).o: src/$$(1)%.$(2) $(obj)/config.h
+# $3 additional compiler flags
+de$(EMPTY)fine $(1)-objs_$(2)_template
+$(obj)/$$(1).$(1).o: src/$$(1).$(2) $(obj)/config.h
@printf " CC $$$$(subst $$$$(obj)/,,$$$$(@))\n"
- $(CC) $(4) -MMD $$$$(CFLAGS) -c -o $$$$@ $$$$<
+ $(CC) $(3) -MMD $$$$(CFLAGS) -c -o $$$$@ $$$$<
en$(EMPTY)def
endef
-$(eval $(call create_cc_template,objs,c))
-$(eval $(call create_cc_template,objs,S,,-DASSEMBLY))
-$(eval $(call create_cc_template,initobjs,c,.initobj,-D__PRE_RAM__))
-$(eval $(call create_cc_template,initobjs,S,.initobj,-DASSEMBLY -D__PRE_RAM__))
-$(eval $(call create_cc_template,drivers,c,.driver))
-$(eval $(call create_cc_template,drivers,S,.driver,-DASSEMBLY))
-$(eval $(call create_cc_template,smmobjs,c,.smmobj))
-$(eval $(call create_cc_template,smmobjs,S,.smmobj))
-
-usetemplate=$(foreach d,$(sort $(dir $($(1)))),$(eval $(call $(1)_$(2)_template,$(subst $(obj)/,,$(d)))))
-usetemplate=$(foreach d,$(sort $(dir $($(1)))),$(eval $(call $(1)_$(2)_template,$(subst $(obj)/,,$(d)))))
-$(eval $(call usetemplate,objs,asl))
-$(eval $(call usetemplate,objs,c))
-$(eval $(call usetemplate,objs,S))
-$(eval $(call usetemplate,initobjs,c))
-$(eval $(call usetemplate,initobjs,S))
-$(eval $(call usetemplate,drivers,c))
-$(eval $(call usetemplate,drivers,S))
-$(eval $(call usetemplate,smmobjs,c))
-$(eval $(call usetemplate,smmobjs,S))
-
-DEPENDENCIES = $(objs:.o=.d) $(initobjs:.o=.d) $(drivers:.o=.d) $(smmobjs:.o=.d)
+$(eval $(call create_cc_template,ramstage,c))
+$(eval $(call create_cc_template,ramstage,S,-DASSEMBLY))
+$(eval $(call create_cc_template,romstage,c,-D__PRE_RAM__))
+$(eval $(call create_cc_template,romstage,S,-DASSEMBLY -D__PRE_RAM__))
+$(eval $(call create_cc_template,driver,c))
+$(eval $(call create_cc_template,driver,S,-DASSEMBLY))
+$(eval $(call create_cc_template,smm,c))
+$(eval $(call create_cc_template,smm,S))
+
+foreach-src=$(foreach file,$($(1)-srcs),$(eval $(call $(1)-objs_$(subst .,,$(suffix $(file)))_template,$(subst src/,,$(basename $(file))))))
+$(eval $(foreach type,$(types),$(call foreach-src,$(type))))
+
+DEPENDENCIES = $(ramstage-objs:.o=.d) $(romstage-objs:.o=.d) $(driver-objs:.o=.d) $(smm-objs:.o=.d)
-include $(DEPENDENCIES)
printall:
- @echo objs:=$(objs)
- @echo initobjs:=$(initobjs)
- @echo drivers:=$(drivers)
- @echo smmobjs:=$(smmobjs)
+ @echo ramstage-objs:=$(ramstage-objs)
+ @echo romstage-objs:=$(romstage-objs)
+ @echo driver-objs:=$(driver-objs)
+ @echo smm-objs:=$(smm-objs)
@echo alldirs:=$(alldirs)
- @echo allsrc=$(allsrc)
+ @echo allsrcs=$(allsrcs)
@echo DEPENDENCIES=$(DEPENDENCIES)
@echo LIBGCC_FILE_NAME=$(LIBGCC_FILE_NAME)
@@ -343,7 +338,7 @@ doxygen-clean:
rm -rf $(DOXYGEN_OUTPUT_DIR)
clean-for-update: doxygen-clean
- rm -f $(objs) $(initobjs) $(drivers) $(smmobjs) .xcompile
+ rm -f $(ramstage-objs) $(romstage-objs) $(driver-objs) $(smm-objs) .xcompile
rm -f $(DEPENDENCIES)
rm -f $(obj)/coreboot_ram* $(obj)/coreboot.romstage $(obj)/coreboot.pre* $(obj)/coreboot.bootblock $(obj)/coreboot.a
rm -rf $(obj)/bootblock* $(obj)/romstage* $(obj)/location.*
diff --git a/src/arch/i386/Makefile.bigbootblock.inc b/src/arch/i386/Makefile.bigbootblock.inc
index 939eea63a3c4..ee988c7e84db 100644
--- a/src/arch/i386/Makefile.bigbootblock.inc
+++ b/src/arch/i386/Makefile.bigbootblock.inc
@@ -20,7 +20,7 @@ $(obj)/crt0.S: $$(crt0s)
@printf " GEN $(subst $(obj)/,,$(@))\n"
printf '$(foreach crt0,config.h $(crt0s),#include "$(crt0:$(obj)/%=%)"\n)' > $@
-$(obj)/mainboard/$(MAINBOARDDIR)/crt0.initobj.o: $(obj)/mainboard/$(MAINBOARDDIR)/crt0.s
+$(obj)/mainboard/$(MAINBOARDDIR)/crt0.romstage.o: $(obj)/mainboard/$(MAINBOARDDIR)/crt0.s
@printf " CC $(subst $(obj)/,,$(@))\n"
$(CC) -MMD -I$(obj) -Wa,-acdlns -c -o $@ $< > $(dir $@)/crt0.disasm
@@ -28,9 +28,9 @@ $(obj)/mainboard/$(MAINBOARDDIR)/crt0.s: $(obj)/crt0.S
@printf " CC $(subst $(obj)/,,$(@))\n"
$(CC) -MMD -x assembler-with-cpp -DASSEMBLY -E -I$(src)/include -I$(src)/arch/i386/include -I$(obj) -include $(obj)/config.h -I. -I$(src) $< -o $@
-$(obj)/coreboot: $$(initobjs) $(obj)/ldscript.ld
+$(obj)/coreboot: $$(romstage-objs) $(obj)/ldscript.ld
@printf " LINK $(subst $(obj)/,,$(@))\n"
- $(CC) -nostdlib -nostartfiles -static -o $@ -L$(obj) -T $(obj)/ldscript.ld $(initobjs)
+ $(CC) -nostdlib -nostartfiles -static -o $@ -L$(obj) -T $(obj)/ldscript.ld $(romstage-objs)
$(NM) -n $(obj)/coreboot | sort > $(obj)/coreboot.map
$(OBJCOPY) --only-keep-debug $@ $(obj)/bootblock.debug
$(OBJCOPY) --strip-debug $@
diff --git a/src/arch/i386/Makefile.bootblock.inc b/src/arch/i386/Makefile.bootblock.inc
index 52a529c1d71d..face04c313b2 100644
--- a/src/arch/i386/Makefile.bootblock.inc
+++ b/src/arch/i386/Makefile.bootblock.inc
@@ -81,16 +81,16 @@ $(obj)/bootblock.elf: $(obj)/mainboard/$(MAINBOARDDIR)/bootblock.o $(obj)/bootbl
#######################################################################
# Build the romstage
-$(obj)/coreboot.romstage: $(obj)/coreboot.pre1 $$(initobjs) $(obj)/romstage/ldscript.ld
+$(obj)/coreboot.romstage: $(obj)/coreboot.pre1 $$(romstage-objs) $(obj)/romstage/ldscript.ld
@printf " LINK $(subst $(obj)/,,$(@))\n"
printf "CONFIG_ROMBASE = 0x0;\nAUTO_XIP_ROM_BASE = 0x0;\n" > $(obj)/location.ld
- $(CC) -nostdlib -nostartfiles -static -o $(obj)/romstage.elf -L$(obj) -T $(obj)/romstage/ldscript.ld $(initobjs)
+ $(CC) -nostdlib -nostartfiles -static -o $(obj)/romstage.elf -L$(obj) -T $(obj)/romstage/ldscript.ld $(romstage-objs)
$(OBJCOPY) -O binary $(obj)/romstage.elf $(obj)/romstage.bin
printf "CONFIG_ROMBASE = 0x" > $(obj)/location.ld
$(CBFSTOOL) $(obj)/coreboot.pre1 locate $(obj)/romstage.bin $(CONFIG_CBFS_PREFIX)/romstage $(CONFIG_XIP_ROM_SIZE) > $(obj)/location.txt
cat $(obj)/location.txt >> $(obj)/location.ld
printf ';\nAUTO_XIP_ROM_BASE = CONFIG_ROMBASE & ~(CONFIG_XIP_ROM_SIZE - 1);\n' >> $(obj)/location.ld
- $(CC) -nostdlib -nostartfiles -static -o $(obj)/romstage.elf -L$(obj) -T $(obj)/romstage/ldscript.ld $(initobjs)
+ $(CC) -nostdlib -nostartfiles -static -o $(obj)/romstage.elf -L$(obj) -T $(obj)/romstage/ldscript.ld $(romstage-objs)
$(NM) -n $(obj)/romstage.elf | sort > $(obj)/romstage.map
$(OBJCOPY) --only-keep-debug $(obj)/romstage.elf $(obj)/romstage.debug
$(OBJCOPY) --strip-debug $(obj)/romstage.elf
@@ -107,7 +107,7 @@ $(obj)/romstage/crt0.S: $$(crt0s)
mkdir -p $(obj)/romstage
printf '$(foreach crt0,config.h $(crt0s),#include "$(crt0:$(obj)/%=%)"\n)' > $@
-$(obj)/mainboard/$(MAINBOARDDIR)/crt0.initobj.o: $(obj)/mainboard/$(MAINBOARDDIR)/crt0.s
+$(obj)/mainboard/$(MAINBOARDDIR)/crt0.romstage.o: $(obj)/mainboard/$(MAINBOARDDIR)/crt0.s
@printf " CC $(subst $(obj)/,,$(@))\n"
$(CC) -I$(obj) -Wa,-acdlns -c -o $@ $< > $(dir $@)/crt0.disasm
diff --git a/src/arch/i386/Makefile.inc b/src/arch/i386/Makefile.inc
index 6e7864ec0cda..c0bc852dc050 100644
--- a/src/arch/i386/Makefile.inc
+++ b/src/arch/i386/Makefile.inc
@@ -25,7 +25,11 @@ subdirs-y += boot
subdirs-y += lib
subdirs-y += smp
-obj-$(CONFIG_HAVE_OPTION_TABLE) += ../../option_table.o
+OPTION_TABLE_H:=
+ifeq ($(CONFIG_HAVE_OPTION_TABLE),y)
+ramstage-srcs += $(obj)/option_table.c
+OPTION_TABLE_H:=$(obj)/option_table.h
+endif
#######################################################################
# Build the final rom image
@@ -88,13 +92,13 @@ endif
#######################################################################
# i386 specific tools
-$(obj)/option_table.h: $(objutil)/options/build_opt_tbl $(top)/src/mainboard/$(MAINBOARDDIR)/cmos.layout
+$(OPTION_TABLE_H): $(objutil)/options/build_opt_tbl $(top)/src/mainboard/$(MAINBOARDDIR)/cmos.layout
@printf " OPTION $(subst $(obj)/,,$(@))\n"
- $(objutil)/options/build_opt_tbl --config $(top)/src/mainboard/$(MAINBOARDDIR)/cmos.layout --header $(obj)/option_table.h
+ $(objutil)/options/build_opt_tbl --config $(top)/src/mainboard/$(MAINBOARDDIR)/cmos.layout --header $@
$(obj)/option_table.c: $(objutil)/options/build_opt_tbl $(top)/src/mainboard/$(MAINBOARDDIR)/cmos.layout
@printf " OPTION $(subst $(obj)/,,$(@))\n"
- $(objutil)/options/build_opt_tbl --config $(top)/src/mainboard/$(MAINBOARDDIR)/cmos.layout --option $(obj)/option_table.c
+ $(objutil)/options/build_opt_tbl --config $(top)/src/mainboard/$(MAINBOARDDIR)/cmos.layout --option $@
$(objutil)/options/build_opt_tbl: $(top)/util/options/build_opt_tbl.c $(top)/src/include/pc80/mc146818rtc.h $(top)/src/include/boot/coreboot_tables.h
@printf " HOSTCC $(subst $(obj)/,,$(@))\n"
@@ -111,11 +115,11 @@ $(obj)/coreboot_ram: $(obj)/coreboot_ram.o $(src)/arch/i386/coreboot_ram.ld #ldo
$(OBJCOPY) --strip-debug $@
$(OBJCOPY) --add-gnu-debuglink=$(obj)/coreboot_ram.debug $@
-$(obj)/coreboot_ram.o: $(obj)/arch/i386/lib/c_start.o $$(drivers) $(obj)/coreboot.a $(LIBGCC_FILE_NAME)
+$(obj)/coreboot_ram.o: $(obj)/arch/i386/lib/c_start.ramstage.o $$(driver-objs) $(obj)/coreboot.a $(LIBGCC_FILE_NAME)
@printf " CC $(subst $(obj)/,,$(@))\n"
- $(CC) -nostdlib -r -o $@ $(obj)/arch/i386/lib/c_start.o $(drivers) -Wl,--wrap,__divdi3 -Wl,--wrap,__udivdi3 -Wl,--wrap,__moddi3 -Wl,--wrap,__umoddi3 -Wl,--start-group $(obj)/coreboot.a $(LIBGCC_FILE_NAME) -Wl,--end-group
+ $(CC) -nostdlib -r -o $@ $(obj)/arch/i386/lib/c_start.ramstage.o $(driver-objs) -Wl,--wrap,__divdi3 -Wl,--wrap,__udivdi3 -Wl,--wrap,__moddi3 -Wl,--wrap,__umoddi3 -Wl,--start-group $(obj)/coreboot.a $(LIBGCC_FILE_NAME) -Wl,--end-group
-$(obj)/coreboot.a: $$(objs)
+$(obj)/coreboot.a: $$(ramstage-objs)
@printf " AR $(subst $(obj)/,,$(@))\n"
rm -f $(obj)/coreboot.a
$(AR) cr $(obj)/coreboot.a $^
@@ -191,11 +195,6 @@ ifeq ($(CONFIG_ROMCC),y)
crt0s += $(src)/arch/i386/init/crt0_romcc_epilogue.inc
endif
-OPTION_TABLE_H:=
-ifeq ($(CONFIG_HAVE_OPTION_TABLE),y)
-OPTION_TABLE_H:=$(obj)/option_table.h
-endif
-
ifeq ($(CONFIG_ROMCC),y)
ROMCCFLAGS ?= -mcpu=p2 -O2
@@ -219,36 +218,36 @@ $(obj)/mainboard/$(MAINBOARDDIR)/romstage.inc: $(obj)/mainboard/$(MAINBOARDDIR)/
endif
# Things that appear in every board
-initobjs += $(obj)/mainboard/$(MAINBOARDDIR)/crt0.o
-objs += $(obj)/mainboard/$(MAINBOARDDIR)/mainboard.o
+romstage-srcs += $(obj)/mainboard/$(MAINBOARDDIR)/crt0.s
+ramstage-srcs += src/mainboard/$(MAINBOARDDIR)/mainboard.c
ifeq ($(CONFIG_GENERATE_MP_TABLE),y)
-objs += $(obj)/mainboard/$(MAINBOARDDIR)/mptable.o
+ramstage-srcs += src/mainboard/$(MAINBOARDDIR)/mptable.c
endif
ifeq ($(CONFIG_GENERATE_PIRQ_TABLE),y)
-objs += $(obj)/mainboard/$(MAINBOARDDIR)/irq_tables.o
+ramstage-srcs += src/mainboard/$(MAINBOARDDIR)/irq_tables.c
endif
ifeq ($(CONFIG_BOARD_HAS_HARD_RESET),y)
-objs += $(obj)/mainboard/$(MAINBOARDDIR)/reset.o
+ramstage-srcs += src/mainboard/$(MAINBOARDDIR)/reset.c
endif
ifeq ($(CONFIG_GENERATE_ACPI_TABLES),y)
-objs += $(obj)/mainboard/$(MAINBOARDDIR)/acpi_tables.o
-objs += $(obj)/mainboard/$(MAINBOARDDIR)/dsdt.o
+ramstage-srcs += src/mainboard/$(MAINBOARDDIR)/acpi_tables.c
+ramstage-srcs += src/mainboard/$(MAINBOARDDIR)/dsdt.asl
# make doesn't have arithmetic operators or greater-than comparisons
ifeq ($(subst 5,4,$(CONFIG_ACPI_SSDTX_NUM)),4)
-objs += $(obj)/mainboard/$(MAINBOARDDIR)/ssdt2.o
-objs += $(obj)/mainboard/$(MAINBOARDDIR)/ssdt3.o
-objs += $(obj)/mainboard/$(MAINBOARDDIR)/ssdt4.o
+ramstage-srcs += src/mainboard/$(MAINBOARDDIR)/ssdt2.asl
+ramstage-srcs += src/mainboard/$(MAINBOARDDIR)/ssdt3.asl
+ramstage-srcs += src/mainboard/$(MAINBOARDDIR)/ssdt4.asl
endif
ifeq ($(CONFIG_ACPI_SSDTX_NUM),5)
-objs += $(obj)/mainboard/$(MAINBOARDDIR)/ssdt5.o
+ramstage-srcs += src/mainboard/$(MAINBOARDDIR)/ssdt5.asl
endif
ifeq ($(CONFIG_BOARD_HAS_FADT),y)
-objs += $(obj)/mainboard/$(MAINBOARDDIR)/fadt.o
+ramstage-srcs += src/mainboard/$(MAINBOARDDIR)/fadt.c
endif
endif
ifeq ($(CONFIG_HAVE_BUS_CONFIG),y)
-objs += $(obj)/mainboard/$(MAINBOARDDIR)/get_bus_conf.o
+ramstage-srcs += src/mainboard/$(MAINBOARDDIR)/get_bus_conf.c
endif
ifeq ($(CONFIG_TINY_BOOTBLOCK),y)
diff --git a/src/arch/i386/boot/Makefile.inc b/src/arch/i386/boot/Makefile.inc
index 0523341bd41b..1ae32e441c00 100644
--- a/src/arch/i386/boot/Makefile.inc
+++ b/src/arch/i386/boot/Makefile.inc
@@ -1,13 +1,13 @@
-obj-y += boot.o
-obj-y += coreboot_table.o
-obj-$(CONFIG_MULTIBOOT) += multiboot.o
-obj-y += gdt.o
-obj-y += tables.o
-obj-$(CONFIG_GENERATE_MP_TABLE) += mpspec.o
-obj-$(CONFIG_GENERATE_PIRQ_TABLE) += pirq_routing.o
-obj-$(CONFIG_GENERATE_ACPI_TABLES) += acpi.o
-obj-$(CONFIG_GENERATE_ACPI_TABLES) += acpigen.o
-obj-$(CONFIG_HAVE_ACPI_RESUME) += wakeup.o
+ramstage-y += boot.c
+ramstage-y += coreboot_table.c
+ramstage-$(CONFIG_MULTIBOOT) += multiboot.c
+ramstage-y += gdt.c
+ramstage-y += tables.c
+ramstage-$(CONFIG_GENERATE_MP_TABLE) += mpspec.c
+ramstage-$(CONFIG_GENERATE_PIRQ_TABLE) += pirq_routing.c
+ramstage-$(CONFIG_GENERATE_ACPI_TABLES) += acpi.c
+ramstage-$(CONFIG_GENERATE_ACPI_TABLES) += acpigen.c
+ramstage-$(CONFIG_HAVE_ACPI_RESUME) += wakeup.S
-$(obj)/arch/i386/boot/coreboot_table.o : $(OPTION_TABLE_H)
+$(obj)/arch/i386/boot/coreboot_table.ramstage.o : $(OPTION_TABLE_H)
diff --git a/src/arch/i386/lib/Makefile.inc b/src/arch/i386/lib/Makefile.inc
index de61f9e42c83..7660d4c3366e 100644
--- a/src/arch/i386/lib/Makefile.inc
+++ b/src/arch/i386/lib/Makefile.inc
@@ -1,13 +1,13 @@
-obj-y += c_start.o
-obj-y += cpu.o
-obj-y += pci_ops_conf1.o
-obj-y += pci_ops_conf2.o
-obj-y += pci_ops_mmconf.o
-obj-y += pci_ops_auto.o
-obj-y += exception.o
-obj-$(CONFIG_IOAPIC) += ioapic.o
+ramstage-y += c_start.S
+ramstage-y += cpu.c
+ramstage-y += pci_ops_conf1.c
+ramstage-y += pci_ops_conf2.c
+ramstage-y += pci_ops_mmconf.c
+ramstage-y += pci_ops_auto.c
+ramstage-y += exception.c
+ramstage-$(CONFIG_IOAPIC) += ioapic.c
-initobj-y += printk_init.o
-initobj-y += cbfs_and_run.o
+romstage-y += printk_init.c
+romstage-y += cbfs_and_run.c
-$(obj)/arch/i386/lib/console.o :: $(obj)/build.h
+$(obj)/arch/i386/lib/console.ramstage.o :: $(obj)/build.h
diff --git a/src/boot/Makefile.inc b/src/boot/Makefile.inc
index 01542b3eb6b3..a61f89ed0014 100644
--- a/src/boot/Makefile.inc
+++ b/src/boot/Makefile.inc
@@ -1,2 +1,2 @@
-obj-y += hardwaremain.o
-obj-y += selfboot.o
+ramstage-y += hardwaremain.c
+ramstage-y += selfboot.c
diff --git a/src/console/Makefile.inc b/src/console/Makefile.inc
index 01d817bc9cf3..4a344d8a0228 100644
--- a/src/console/Makefile.inc
+++ b/src/console/Makefile.inc
@@ -1,21 +1,21 @@
-obj-y += printk.o
-obj-y += console.o
-obj-y += vtxprintf.o
-obj-y += vsprintf.o
+ramstage-y += printk.c
+ramstage-y += console.c
+ramstage-y += vtxprintf.c
+ramstage-y += vsprintf.c
-smmobj-y += printk.o
-smmobj-y += vtxprintf.o
+smm-y += printk.c
+smm-y += vtxprintf.c
-initobj-y += vtxprintf.o
-initobj-$(CONFIG_CACHE_AS_RAM) += console.o
+romstage-y += vtxprintf.c
+romstage-$(CONFIG_CACHE_AS_RAM) += console.c
-driver-$(CONFIG_CONSOLE_SERIAL8250) += uart8250_console.o
-driver-$(CONFIG_USBDEBUG) += usbdebug_console.o
-driver-$(CONFIG_CONSOLE_VGA) += vga_console.o
-driver-$(CONFIG_CONSOLE_BTEXT) += btext_console.o
-driver-$(CONFIG_CONSOLE_BTEXT) += font-8x16.o
-driver-$(CONFIG_CONSOLE_LOGBUF) += logbuf_console.o
-driver-$(CONFIG_CONSOLE_NE2K) += ne2k_console.o
+driver-$(CONFIG_CONSOLE_SERIAL8250) += uart8250_console.c
+driver-$(CONFIG_USBDEBUG) += usbdebug_console.c
+driver-$(CONFIG_CONSOLE_VGA) += vga_console.c
+driver-$(CONFIG_CONSOLE_BTEXT) += btext_console.c
+driver-$(CONFIG_CONSOLE_BTEXT) += font-8x16.c
+driver-$(CONFIG_CONSOLE_LOGBUF) += logbuf_console.c
+driver-$(CONFIG_CONSOLE_NE2K) += ne2k_console.c
-$(obj)/console/console.o : $(obj)/build.h
-$(obj)/console/console.initobj.o : $(obj)/build.h
+$(obj)/console/console.ramstage.o : $(obj)/build.h
+$(obj)/console/console.romstage.o : $(obj)/build.h
diff --git a/src/cpu/amd/dualcore/Makefile.inc b/src/cpu/amd/dualcore/Makefile.inc
index 8b6d688300fc..f9571d1c3c61 100644
--- a/src/cpu/amd/dualcore/Makefile.inc
+++ b/src/cpu/amd/dualcore/Makefile.inc
@@ -1,2 +1,2 @@
# This is a leaf Makefile, no conditionals. If it is included it will be used.
-obj-y += amd_sibling.o
+ramstage-y += amd_sibling.c
diff --git a/src/cpu/amd/microcode/Makefile.inc b/src/cpu/amd/microcode/Makefile.inc
index 26513df8f71b..6631019f38d7 100644
--- a/src/cpu/amd/microcode/Makefile.inc
+++ b/src/cpu/amd/microcode/Makefile.inc
@@ -1 +1 @@
-obj-y += microcode.o
+ramstage-y += microcode.c
diff --git a/src/cpu/amd/model_10xxx/Makefile.inc b/src/cpu/amd/model_10xxx/Makefile.inc
index db3debce2e2e..5b0a89de49fa 100644
--- a/src/cpu/amd/model_10xxx/Makefile.inc
+++ b/src/cpu/amd/model_10xxx/Makefile.inc
@@ -1,5 +1,5 @@
# no conditionals here. If you include this file from a socket, then you get all the binaries.
-driver-y += model_10xxx_init.o
-obj-y += update_microcode.o
-obj-y += apic_timer.o
-obj-y += processor_name.o
+driver-y += model_10xxx_init.c
+ramstage-y += update_microcode.c
+ramstage-y += apic_timer.c
+ramstage-y += processor_name.c
diff --git a/src/cpu/amd/model_fxx/Makefile.inc b/src/cpu/amd/model_fxx/Makefile.inc
index d7490e8fa9d2..948e2358892b 100644
--- a/src/cpu/amd/model_fxx/Makefile.inc
+++ b/src/cpu/amd/model_fxx/Makefile.inc
@@ -1,6 +1,6 @@
# no conditionals here. If you include this file from a socket, then you get all the binaries.
-driver-y += model_fxx_init.o
-obj-y += apic_timer.o
-obj-y += model_fxx_update_microcode.o
-obj-y += processor_name.o
-obj-y += powernow_acpi.o
+driver-y += model_fxx_init.c
+ramstage-y += apic_timer.c
+ramstage-y += model_fxx_update_microcode.c
+ramstage-y += processor_name.c
+ramstage-y += powernow_acpi.c
diff --git a/src/cpu/amd/model_gx1/Makefile.inc b/src/cpu/amd/model_gx1/Makefile.inc
index f6332082c30c..bf543a466524 100644
--- a/src/cpu/amd/model_gx1/Makefile.inc
+++ b/src/cpu/amd/model_gx1/Makefile.inc
@@ -22,7 +22,7 @@ subdirs-y += ../../x86/tsc
subdirs-y += ../../x86/lapic
subdirs-y += ../../x86/cache
subdirs-y += ../../x86/smm
-driver-y += model_gx1_init.o
+driver-y += model_gx1_init.c
cpu_incs += $(src)/cpu/amd/model_gx1/cpu_setup.inc
cpu_incs += $(src)/cpu/amd/model_gx1/gx_setup.inc
diff --git a/src/cpu/amd/model_gx2/Makefile.inc b/src/cpu/amd/model_gx2/Makefile.inc
index 50fdc5445cca..5e6d9ca36686 100644
--- a/src/cpu/amd/model_gx2/Makefile.inc
+++ b/src/cpu/amd/model_gx2/Makefile.inc
@@ -3,7 +3,7 @@ subdirs-y += ../../x86/lapic
subdirs-y += ../../x86/cache
subdirs-y += ../../x86/smm
-driver-y += model_gx2_init.o
-obj-y += cpubug.o
+driver-y += model_gx2_init.c
+ramstage-y += cpubug.c
cpu_incs += $(src)/cpu/amd/model_gx2/cache_as_ram.inc
diff --git a/src/cpu/amd/model_lx/Makefile.inc b/src/cpu/amd/model_lx/Makefile.inc
index 4752378d41f0..3455d1e5d6c9 100644
--- a/src/cpu/amd/model_lx/Makefile.inc
+++ b/src/cpu/amd/model_lx/Makefile.inc
@@ -3,7 +3,7 @@ subdirs-y += ../../x86/lapic
subdirs-y += ../../x86/cache
subdirs-y += ../../x86/smm
-driver-y += model_lx_init.o
-obj-y += cpubug.o
+driver-y += model_lx_init.c
+ramstage-y += cpubug.c
cpu_incs += $(src)/cpu/amd/model_lx/cache_as_ram.inc
diff --git a/src/cpu/amd/mtrr/Makefile.inc b/src/cpu/amd/mtrr/Makefile.inc
index 2978a397ac95..5ed435a5ed74 100644
--- a/src/cpu/amd/mtrr/Makefile.inc
+++ b/src/cpu/amd/mtrr/Makefile.inc
@@ -1 +1 @@
-obj-y += amd_mtrr.o
+ramstage-y += amd_mtrr.c
diff --git a/src/cpu/amd/quadcore/Makefile.inc b/src/cpu/amd/quadcore/Makefile.inc
index d53dc3dd0703..c390b4e2959c 100644
--- a/src/cpu/amd/quadcore/Makefile.inc
+++ b/src/cpu/amd/quadcore/Makefile.inc
@@ -1 +1 @@
-obj-y += amd_sibling.o
+ramstage-y += amd_sibling.c
diff --git a/src/cpu/amd/sc520/Makefile.inc b/src/cpu/amd/sc520/Makefile.inc
index 9bc9e1342095..03f9e0f9bfe0 100644
--- a/src/cpu/amd/sc520/Makefile.inc
+++ b/src/cpu/amd/sc520/Makefile.inc
@@ -1 +1 @@
-obj-y += sc520.o
+ramstage-y += sc520.c
diff --git a/src/cpu/amd/socket_754/Makefile.inc b/src/cpu/amd/socket_754/Makefile.inc
index 917c73e94d11..a08ffabdc032 100644
--- a/src/cpu/amd/socket_754/Makefile.inc
+++ b/src/cpu/amd/socket_754/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_754.o
+ramstage-y += socket_754.c
subdirs-y += ../model_fxx
subdirs-y += ../dualcore
subdirs-y += ../mtrr
diff --git a/src/cpu/amd/socket_939/Makefile.inc b/src/cpu/amd/socket_939/Makefile.inc
index 6963a2237d40..290ea9a6f78c 100644
--- a/src/cpu/amd/socket_939/Makefile.inc
+++ b/src/cpu/amd/socket_939/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_939.o
+ramstage-y += socket_939.c
subdirs-y += ../model_fxx
subdirs-y += ../dualcore
subdirs-y += ../mtrr
diff --git a/src/cpu/amd/socket_940/Makefile.inc b/src/cpu/amd/socket_940/Makefile.inc
index edcecca881f4..a0fee959b484 100644
--- a/src/cpu/amd/socket_940/Makefile.inc
+++ b/src/cpu/amd/socket_940/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_940.o
+ramstage-y += socket_940.c
subdirs-y += ../model_fxx
subdirs-y += ../dualcore
subdirs-y += ../mtrr
diff --git a/src/cpu/amd/socket_AM2/Makefile.inc b/src/cpu/amd/socket_AM2/Makefile.inc
index d58cacaadd30..4345b7c9ed39 100644
--- a/src/cpu/amd/socket_AM2/Makefile.inc
+++ b/src/cpu/amd/socket_AM2/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_AM2.o
+ramstage-y += socket_AM2.c
subdirs-y += ../model_fxx
subdirs-y += ../dualcore
subdirs-y += ../mtrr
diff --git a/src/cpu/amd/socket_AM2r2/Makefile.inc b/src/cpu/amd/socket_AM2r2/Makefile.inc
index 80ae49899319..d02f792c1ac4 100644
--- a/src/cpu/amd/socket_AM2r2/Makefile.inc
+++ b/src/cpu/amd/socket_AM2r2/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_AM2r2.o
+ramstage-y += socket_AM2r2.c
subdirs-y += ../model_10xxx
subdirs-y += ../quadcore
subdirs-y += ../mtrr
diff --git a/src/cpu/amd/socket_AM3/Makefile.inc b/src/cpu/amd/socket_AM3/Makefile.inc
index 9799b7fb4dd0..78f9cc23654b 100644
--- a/src/cpu/amd/socket_AM3/Makefile.inc
+++ b/src/cpu/amd/socket_AM3/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_AM3.o
+ramstage-y += socket_AM3.c
subdirs-y += ../model_10xxx
subdirs-y += ../quadcore
subdirs-y += ../mtrr
diff --git a/src/cpu/amd/socket_ASB2/Makefile.inc b/src/cpu/amd/socket_ASB2/Makefile.inc
index 580cbbce2d7a..f55152a3741a 100644
--- a/src/cpu/amd/socket_ASB2/Makefile.inc
+++ b/src/cpu/amd/socket_ASB2/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_ASB2.o
+ramstage-y += socket_ASB2.c
subdirs-y += ../model_10xxx
subdirs-y += ../quadcore
subdirs-y += ../mtrr
diff --git a/src/cpu/amd/socket_F/Makefile.inc b/src/cpu/amd/socket_F/Makefile.inc
index 0be473e4ed93..944031200323 100644
--- a/src/cpu/amd/socket_F/Makefile.inc
+++ b/src/cpu/amd/socket_F/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_F.o
+ramstage-y += socket_F.c
subdirs-y += ../model_fxx
subdirs-y += ../dualcore
subdirs-y += ../mtrr
diff --git a/src/cpu/amd/socket_F_1207/Makefile.inc b/src/cpu/amd/socket_F_1207/Makefile.inc
index ae60d0de35ad..5cdd1ea230a6 100644
--- a/src/cpu/amd/socket_F_1207/Makefile.inc
+++ b/src/cpu/amd/socket_F_1207/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_F_1207.o
+ramstage-y += socket_F_1207.c
subdirs-y += ../model_10xxx
subdirs-y += ../quadcore
subdirs-y += ../mtrr
diff --git a/src/cpu/amd/socket_S1G1/Makefile.inc b/src/cpu/amd/socket_S1G1/Makefile.inc
index f255b543d3a5..eb3ac5776aba 100644
--- a/src/cpu/amd/socket_S1G1/Makefile.inc
+++ b/src/cpu/amd/socket_S1G1/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_S1G1.o
+ramstage-y += socket_S1G1.c
subdirs-y += ../model_fxx
subdirs-y += ../dualcore
subdirs-y += ../mtrr
diff --git a/src/cpu/intel/bga956/Makefile.inc b/src/cpu/intel/bga956/Makefile.inc
index f4dc9d1a8262..a0ace125b9e7 100644
--- a/src/cpu/intel/bga956/Makefile.inc
+++ b/src/cpu/intel/bga956/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += bga956.o
+ramstage-y += bga956.c
subdirs-y += ../model_1067x
subdirs-y += ../../x86/tsc
subdirs-y += ../../x86/mtrr
diff --git a/src/cpu/intel/ep80579/Makefile.inc b/src/cpu/intel/ep80579/Makefile.inc
index b4c407dbbf75..7aaedba7e0d0 100644
--- a/src/cpu/intel/ep80579/Makefile.inc
+++ b/src/cpu/intel/ep80579/Makefile.inc
@@ -1,5 +1,5 @@
-obj-y += ep80579.o
-driver-y += ep80579_init.o
+ramstage-y += ep80579.c
+driver-y += ep80579_init.c
subdirs-y += ../../x86/tsc
subdirs-y += ../../x86/mtrr
subdirs-y += ../../x86/lapic
diff --git a/src/cpu/intel/hyperthreading/Makefile.inc b/src/cpu/intel/hyperthreading/Makefile.inc
index 34cf8eee99eb..8adbad9e21a9 100644
--- a/src/cpu/intel/hyperthreading/Makefile.inc
+++ b/src/cpu/intel/hyperthreading/Makefile.inc
@@ -1 +1 @@
-obj-y += intel_sibling.o
+ramstage-y += intel_sibling.c
diff --git a/src/cpu/intel/microcode/Makefile.inc b/src/cpu/intel/microcode/Makefile.inc
index 26513df8f71b..6631019f38d7 100644
--- a/src/cpu/intel/microcode/Makefile.inc
+++ b/src/cpu/intel/microcode/Makefile.inc
@@ -1 +1 @@
-obj-y += microcode.o
+ramstage-y += microcode.c
diff --git a/src/cpu/intel/model_1067x/Makefile.inc b/src/cpu/intel/model_1067x/Makefile.inc
index a1db11072c61..b2b760641daf 100644
--- a/src/cpu/intel/model_1067x/Makefile.inc
+++ b/src/cpu/intel/model_1067x/Makefile.inc
@@ -1,3 +1,3 @@
-driver-y += model_1067x_init.o
+driver-y += model_1067x_init.c
subdirs-y += ../../x86/name
diff --git a/src/cpu/intel/model_106cx/Makefile.inc b/src/cpu/intel/model_106cx/Makefile.inc
index 890a529cc3ef..edcd01c765f9 100644
--- a/src/cpu/intel/model_106cx/Makefile.inc
+++ b/src/cpu/intel/model_106cx/Makefile.inc
@@ -1,4 +1,4 @@
-driver-y += model_106cx_init.o
+driver-y += model_106cx_init.c
subdirs-y += ../../x86/name
cpu_incs += $(src)/cpu/intel/model_106cx/cache_as_ram.inc
diff --git a/src/cpu/intel/model_68x/Makefile.inc b/src/cpu/intel/model_68x/Makefile.inc
index 810ef19972c7..5b5f1665ce83 100644
--- a/src/cpu/intel/model_68x/Makefile.inc
+++ b/src/cpu/intel/model_68x/Makefile.inc
@@ -18,6 +18,6 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += model_68x_init.o
+driver-y += model_68x_init.c
subdirs-y += ../../x86/name
diff --git a/src/cpu/intel/model_69x/Makefile.inc b/src/cpu/intel/model_69x/Makefile.inc
index 4756e3378783..329bfebb6eb8 100644
--- a/src/cpu/intel/model_69x/Makefile.inc
+++ b/src/cpu/intel/model_69x/Makefile.inc
@@ -1 +1 @@
-driver-y += model_69x_init.o
+driver-y += model_69x_init.c
diff --git a/src/cpu/intel/model_6bx/Makefile.inc b/src/cpu/intel/model_6bx/Makefile.inc
index c10aff170ddb..4632815b52cf 100644
--- a/src/cpu/intel/model_6bx/Makefile.inc
+++ b/src/cpu/intel/model_6bx/Makefile.inc
@@ -1,2 +1,2 @@
-driver-y += model_6bx_init.o
+driver-y += model_6bx_init.c
subdirs-y += ../../x86/name
diff --git a/src/cpu/intel/model_6dx/Makefile.inc b/src/cpu/intel/model_6dx/Makefile.inc
index bbadb5017049..47525be88a18 100644
--- a/src/cpu/intel/model_6dx/Makefile.inc
+++ b/src/cpu/intel/model_6dx/Makefile.inc
@@ -1 +1 @@
-driver-y += model_6dx_init.o
+driver-y += model_6dx_init.c
diff --git a/src/cpu/intel/model_6ex/Makefile.inc b/src/cpu/intel/model_6ex/Makefile.inc
index 8ad1e3910f4e..0053ae788cda 100644
--- a/src/cpu/intel/model_6ex/Makefile.inc
+++ b/src/cpu/intel/model_6ex/Makefile.inc
@@ -1,4 +1,4 @@
-driver-y += model_6ex_init.o
+driver-y += model_6ex_init.c
subdirs-y += ../../x86/name
cpu_incs += $(src)/cpu/intel/model_6ex/cache_as_ram.inc
diff --git a/src/cpu/intel/model_6fx/Makefile.inc b/src/cpu/intel/model_6fx/Makefile.inc
index 0e32754d7f2f..374354728966 100644
--- a/src/cpu/intel/model_6fx/Makefile.inc
+++ b/src/cpu/intel/model_6fx/Makefile.inc
@@ -1,2 +1,2 @@
-driver-y += model_6fx_init.o
+driver-y += model_6fx_init.c
subdirs-y += ../../x86/name
diff --git a/src/cpu/intel/model_6xx/Makefile.inc b/src/cpu/intel/model_6xx/Makefile.inc
index ddbb7a5d8b4f..157ca90968c5 100644
--- a/src/cpu/intel/model_6xx/Makefile.inc
+++ b/src/cpu/intel/model_6xx/Makefile.inc
@@ -1 +1 @@
-driver-y += model_6xx_init.o
+driver-y += model_6xx_init.c
diff --git a/src/cpu/intel/model_f0x/Makefile.inc b/src/cpu/intel/model_f0x/Makefile.inc
index 0a19a21abab0..55efa1445ac7 100644
--- a/src/cpu/intel/model_f0x/Makefile.inc
+++ b/src/cpu/intel/model_f0x/Makefile.inc
@@ -1 +1 @@
-driver-y += model_f0x_init.o
+driver-y += model_f0x_init.c
diff --git a/src/cpu/intel/model_f1x/Makefile.inc b/src/cpu/intel/model_f1x/Makefile.inc
index 14c62b698305..e3a02e9abebd 100644
--- a/src/cpu/intel/model_f1x/Makefile.inc
+++ b/src/cpu/intel/model_f1x/Makefile.inc
@@ -1 +1 @@
-driver-y += model_f1x_init.o
+driver-y += model_f1x_init.c
diff --git a/src/cpu/intel/model_f2x/Makefile.inc b/src/cpu/intel/model_f2x/Makefile.inc
index f83605675d33..c393343ba1d2 100644
--- a/src/cpu/intel/model_f2x/Makefile.inc
+++ b/src/cpu/intel/model_f2x/Makefile.inc
@@ -1 +1 @@
-driver-y += model_f2x_init.o
+driver-y += model_f2x_init.c
diff --git a/src/cpu/intel/model_f3x/Makefile.inc b/src/cpu/intel/model_f3x/Makefile.inc
index 13dda6153803..b2f213873802 100644
--- a/src/cpu/intel/model_f3x/Makefile.inc
+++ b/src/cpu/intel/model_f3x/Makefile.inc
@@ -1 +1 @@
-driver-y += model_f3x_init.o
+driver-y += model_f3x_init.c
diff --git a/src/cpu/intel/model_f4x/Makefile.inc b/src/cpu/intel/model_f4x/Makefile.inc
index 7e50d2912206..0a38adf70cf1 100644
--- a/src/cpu/intel/model_f4x/Makefile.inc
+++ b/src/cpu/intel/model_f4x/Makefile.inc
@@ -1 +1 @@
-driver-y += model_f4x_init.o
+driver-y += model_f4x_init.c
diff --git a/src/cpu/intel/slot_1/Makefile.inc b/src/cpu/intel/slot_1/Makefile.inc
index 169265664cf6..e51f416daba1 100644
--- a/src/cpu/intel/slot_1/Makefile.inc
+++ b/src/cpu/intel/slot_1/Makefile.inc
@@ -18,7 +18,7 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-y += slot_1.o
+ramstage-y += slot_1.c
subdirs-y += ../model_6xx
subdirs-y += ../../x86/tsc
subdirs-y += ../../x86/mtrr
diff --git a/src/cpu/intel/slot_2/Makefile.inc b/src/cpu/intel/slot_2/Makefile.inc
index c203fcaefe8a..67a866dbfe1a 100644
--- a/src/cpu/intel/slot_2/Makefile.inc
+++ b/src/cpu/intel/slot_2/Makefile.inc
@@ -18,7 +18,7 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-y += slot_2.o
+ramstage-y += slot_2.c
subdirs-y += ../model_6xx
subdirs-y += ../../x86/tsc
subdirs-y += ../../x86/mtrr
diff --git a/src/cpu/intel/socket_441/Makefile.inc b/src/cpu/intel/socket_441/Makefile.inc
index bd34d7aa222e..9ba1f62704c4 100644
--- a/src/cpu/intel/socket_441/Makefile.inc
+++ b/src/cpu/intel/socket_441/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_441.o
+ramstage-y += socket_441.c
subdirs-y += ../model_106cx
subdirs-y += ../../x86/tsc
subdirs-y += ../../x86/mtrr
diff --git a/src/cpu/intel/socket_FC_PGA370/Makefile.inc b/src/cpu/intel/socket_FC_PGA370/Makefile.inc
index 5a2b63ae92b6..b10d1422cc11 100644
--- a/src/cpu/intel/socket_FC_PGA370/Makefile.inc
+++ b/src/cpu/intel/socket_FC_PGA370/Makefile.inc
@@ -18,7 +18,7 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-y += socket_FC_PGA370.o
+ramstage-y += socket_FC_PGA370.c
subdirs-y += ../model_68x
subdirs-y += ../../x86/tsc
subdirs-y += ../../x86/mtrr
diff --git a/src/cpu/intel/socket_PGA370/Makefile.inc b/src/cpu/intel/socket_PGA370/Makefile.inc
index 9454d6b258a3..c857bcee4557 100644
--- a/src/cpu/intel/socket_PGA370/Makefile.inc
+++ b/src/cpu/intel/socket_PGA370/Makefile.inc
@@ -18,7 +18,7 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-y += socket_PGA370.o
+ramstage-y += socket_PGA370.c
subdirs-y += ../model_6xx
subdirs-y += ../../x86/tsc
subdirs-y += ../../x86/mtrr
diff --git a/src/cpu/intel/socket_mFCBGA479/Makefile.inc b/src/cpu/intel/socket_mFCBGA479/Makefile.inc
index 9f7ca6637cbb..e8d6f29f5ce5 100644
--- a/src/cpu/intel/socket_mFCBGA479/Makefile.inc
+++ b/src/cpu/intel/socket_mFCBGA479/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_mFCBGA479.o
+ramstage-y += socket_mFCBGA479.c
subdirs-y += ../model_6bx
subdirs-y += ../../x86/tsc
subdirs-y += ../../x86/mtrr
diff --git a/src/cpu/intel/socket_mFCPGA478/Makefile.inc b/src/cpu/intel/socket_mFCPGA478/Makefile.inc
index f8783eea0cca..74433a278a5c 100644
--- a/src/cpu/intel/socket_mFCPGA478/Makefile.inc
+++ b/src/cpu/intel/socket_mFCPGA478/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_mFCPGA478.o
+ramstage-y += socket_mFCPGA478.c
subdirs-y += ../model_69x
subdirs-y += ../model_6dx
subdirs-y += ../model_6ex
diff --git a/src/cpu/intel/socket_mPGA478/Makefile.inc b/src/cpu/intel/socket_mPGA478/Makefile.inc
index ad7cb04edc84..ba2f13ca75d3 100644
--- a/src/cpu/intel/socket_mPGA478/Makefile.inc
+++ b/src/cpu/intel/socket_mPGA478/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_mPGA478.o
+ramstage-y += socket_mPGA478.c
subdirs-y += ../model_69x
subdirs-y += ../model_6dx
subdirs-y += ../../x86/tsc
diff --git a/src/cpu/intel/socket_mPGA479M/Makefile.inc b/src/cpu/intel/socket_mPGA479M/Makefile.inc
index 2cf418fd1102..260d1c88b15d 100644
--- a/src/cpu/intel/socket_mPGA479M/Makefile.inc
+++ b/src/cpu/intel/socket_mPGA479M/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_mPGA479M.o
+ramstage-y += socket_mPGA479M.c
subdirs-y += ../model_69x
subdirs-y += ../model_6dx
subdirs-y += ../model_f2x
@@ -10,4 +10,4 @@ subdirs-y += ../../x86/smm
subdirs-y += ../microcode
subdirs-y += ../hyperthreading
-cpu_incs += $(src)/cpu/intel/car/cache_as_ram.inc \ No newline at end of file
+cpu_incs += $(src)/cpu/intel/car/cache_as_ram.inc
diff --git a/src/cpu/intel/socket_mPGA603/Makefile.inc b/src/cpu/intel/socket_mPGA603/Makefile.inc
index 0e43697d98eb..8bafa7743ab8 100644
--- a/src/cpu/intel/socket_mPGA603/Makefile.inc
+++ b/src/cpu/intel/socket_mPGA603/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_mPGA603_400Mhz.o
+ramstage-y += socket_mPGA603_400Mhz.c
subdirs-y += ../model_f0x
subdirs-y += ../model_f1x
subdirs-y += ../model_f2x
diff --git a/src/cpu/intel/socket_mPGA604/Makefile.inc b/src/cpu/intel/socket_mPGA604/Makefile.inc
index a51765ba71f9..1404e84bbc1c 100644
--- a/src/cpu/intel/socket_mPGA604/Makefile.inc
+++ b/src/cpu/intel/socket_mPGA604/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += socket_mPGA604.o
+ramstage-y += socket_mPGA604.c
subdirs-y += ../model_f2x
subdirs-y += ../model_f3x
subdirs-y += ../model_f4x
diff --git a/src/cpu/intel/speedstep/Makefile.inc b/src/cpu/intel/speedstep/Makefile.inc
index 501638e75397..c717a3304e8f 100644
--- a/src/cpu/intel/speedstep/Makefile.inc
+++ b/src/cpu/intel/speedstep/Makefile.inc
@@ -1 +1 @@
-obj-$(CONFIG_GENERATE_ACPI_TABLES) += acpi.o
+ramstage-$(CONFIG_GENERATE_ACPI_TABLES) += acpi.c
diff --git a/src/cpu/via/model_c3/Makefile.inc b/src/cpu/via/model_c3/Makefile.inc
index 39eb0fdbd7e1..320b649a2fb6 100644
--- a/src/cpu/via/model_c3/Makefile.inc
+++ b/src/cpu/via/model_c3/Makefile.inc
@@ -5,4 +5,4 @@ subdirs-y += ../../x86/cache
subdirs-y += ../../x86/smm
subdirs-y += ../../intel/microcode
-driver-y += model_c3_init.o
+driver-y += model_c3_init.c
diff --git a/src/cpu/via/model_c7/Makefile.inc b/src/cpu/via/model_c7/Makefile.inc
index a3a42e347b5f..c6ab45e7b8bc 100644
--- a/src/cpu/via/model_c7/Makefile.inc
+++ b/src/cpu/via/model_c7/Makefile.inc
@@ -5,6 +5,6 @@ subdirs-y += ../../x86/cache
subdirs-y += ../../x86/smm
subdirs-y += ../../intel/microcode
-driver-y += model_c7_init.o
+driver-y += model_c7_init.c
cpu_incs += $(src)/cpu/via/car/cache_as_ram.inc
diff --git a/src/cpu/x86/cache/Makefile.inc b/src/cpu/x86/cache/Makefile.inc
index 75679e586b51..b33b9eeff03c 100644
--- a/src/cpu/x86/cache/Makefile.inc
+++ b/src/cpu/x86/cache/Makefile.inc
@@ -1 +1 @@
-obj-y += cache.o
+ramstage-y += cache.c
diff --git a/src/cpu/x86/lapic/Makefile.inc b/src/cpu/x86/lapic/Makefile.inc
index bed314024c4e..af20956a9a9f 100644
--- a/src/cpu/x86/lapic/Makefile.inc
+++ b/src/cpu/x86/lapic/Makefile.inc
@@ -1,4 +1,4 @@
-obj-y += lapic.o
-obj-y += lapic_cpu_init.o
-obj-y += secondary.o
-obj-$(CONFIG_UDELAY_LAPIC) += apic_timer.o
+ramstage-y += lapic.c
+ramstage-y += lapic_cpu_init.c
+ramstage-y += secondary.S
+ramstage-$(CONFIG_UDELAY_LAPIC) += apic_timer.c
diff --git a/src/cpu/x86/mtrr/Makefile.inc b/src/cpu/x86/mtrr/Makefile.inc
index b68873e5a61d..cecb8269ef36 100644
--- a/src/cpu/x86/mtrr/Makefile.inc
+++ b/src/cpu/x86/mtrr/Makefile.inc
@@ -1 +1 @@
-obj-y += mtrr.o
+ramstage-y += mtrr.c
diff --git a/src/cpu/x86/name/Makefile.inc b/src/cpu/x86/name/Makefile.inc
index bfe17519f279..1528ed7661d8 100644
--- a/src/cpu/x86/name/Makefile.inc
+++ b/src/cpu/x86/name/Makefile.inc
@@ -17,5 +17,5 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-y += name.o
+ramstage-y += name.c
diff --git a/src/cpu/x86/pae/Makefile.inc b/src/cpu/x86/pae/Makefile.inc
index e20ee2fc5159..0ecec4753d62 100644
--- a/src/cpu/x86/pae/Makefile.inc
+++ b/src/cpu/x86/pae/Makefile.inc
@@ -1 +1 @@
-obj-y += pgtbl.o
+ramstage-y += pgtbl.c
diff --git a/src/cpu/x86/smm/Makefile.inc b/src/cpu/x86/smm/Makefile.inc
index 42413510e9e5..85bb45472bed 100644
--- a/src/cpu/x86/smm/Makefile.inc
+++ b/src/cpu/x86/smm/Makefile.inc
@@ -17,24 +17,26 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-$(CONFIG_HAVE_SMI_HANDLER) += smmrelocate.o
-obj-$(CONFIG_HAVE_SMI_HANDLER) += smm_wrap.o
+ramstage-$(CONFIG_HAVE_SMI_HANDLER) += smmrelocate.S
+ifeq ($(CONFIG_HAVE_SMI_HANDLER),y)
+ramstage-srcs += $(obj)/cpu/x86/smm/smm_wrap
+endif
-smmobj-y += smmhandler.o
-smmobj-y += smihandler.o
-smmobj-y += smiutil.o
+smm-y += smmhandler.S
+smm-y += smihandler.c
+smm-y += smiutil.c
-$(obj)/cpu/x86/smm/smm.o: $$(smmobjs)
+$(obj)/cpu/x86/smm/smm.o: $$(smm-objs)
$(CC) $(LDFLAGS) -nostdlib -r -o $@ $^
-$(obj)/cpu/x86/smm/smm: $(obj)/cpu/x86/smm/smm.o $(src)/cpu/x86/smm/smm.ld $(obj)/ldoptions
+$(obj)/cpu/x86/smm/smm_wrap: $(obj)/cpu/x86/smm/smm.o $(src)/cpu/x86/smm/smm.ld $(obj)/ldoptions
$(CC) $(LDFLAGS) -nostdlib -nostartfiles -static -o $(obj)/cpu/x86/smm/smm.elf -T $(src)/cpu/x86/smm/smm.ld $(obj)/cpu/x86/smm/smm.o
$(NM) -n $(obj)/cpu/x86/smm/smm.elf | sort > $(obj)/cpu/x86/smm/smm.map
$(OBJCOPY) -O binary $(obj)/cpu/x86/smm/smm.elf $(obj)/cpu/x86/smm/smm
# change to the target path because objcopy will use the path name in its
# ELF symbol names.
-$(obj)/cpu/x86/smm/smm_wrap.o: $(obj)/cpu/x86/smm/smm
+$(obj)/cpu/x86/smm/smm_wrap.ramstage.o: $(obj)/cpu/x86/smm/smm_wrap
@printf " OBJCOPY $(subst $(obj)/,,$(@))\n"
- cd $(obj)/cpu/x86/smm; $(OBJCOPY) -I binary smm -O elf32-i386 -B i386 smm_wrap.o
+ cd $(obj)/cpu/x86/smm; $(OBJCOPY) -I binary smm -O elf32-i386 -B i386 smm_wrap.ramstage.o
diff --git a/src/cpu/x86/tsc/Makefile.inc b/src/cpu/x86/tsc/Makefile.inc
index d56c3e76d63c..44bfe85170e4 100644
--- a/src/cpu/x86/tsc/Makefile.inc
+++ b/src/cpu/x86/tsc/Makefile.inc
@@ -1,2 +1,2 @@
-obj-$(CONFIG_UDELAY_TSC) += delay_tsc.o
+ramstage-$(CONFIG_UDELAY_TSC) += delay_tsc.c
diff --git a/src/devices/Makefile.inc b/src/devices/Makefile.inc
index dd518bd16ba9..86b4d21e0717 100644
--- a/src/devices/Makefile.inc
+++ b/src/devices/Makefile.inc
@@ -1,21 +1,21 @@
-obj-y += device.o
-obj-y += root_device.o
-obj-y += device_util.o
-obj-y += pci_device.o
-obj-$(CONFIG_HYPERTRANSPORT_PLUGIN_SUPPORT) += hypertransport.o
-obj-y += pcix_device.o
-obj-y += pciexp_device.o
-obj-y += agp_device.o
-obj-y += cardbus_device.o
-obj-y += pnp_device.o
-obj-y += pci_ops.o
-obj-y += smbus_ops.o
+ramstage-y += device.c
+ramstage-y += root_device.c
+ramstage-y += device_util.c
+ramstage-y += pci_device.c
+ramstage-$(CONFIG_HYPERTRANSPORT_PLUGIN_SUPPORT) += hypertransport.c
+ramstage-y += pcix_device.c
+ramstage-y += pciexp_device.c
+ramstage-y += agp_device.c
+ramstage-y += cardbus_device.c
+ramstage-y += pnp_device.c
+ramstage-y += pci_ops.c
+ramstage-y += smbus_ops.c
subdirs-y += oprom
ifeq ($(CONFIG_PCI_ROM_RUN),y)
-obj-y += pci_rom.o
+ramstage-y += pci_rom.c
else
-obj-$(CONFIG_VGA_ROM_RUN) += pci_rom.o
+ramstage-$(CONFIG_VGA_ROM_RUN) += pci_rom.c
endif
diff --git a/src/devices/oprom/Makefile.inc b/src/devices/oprom/Makefile.inc
index 3d5f1a6ff5a8..62fdc3182a24 100644
--- a/src/devices/oprom/Makefile.inc
+++ b/src/devices/oprom/Makefile.inc
@@ -17,9 +17,9 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-$(CONFIG_PCI_OPTION_ROM_RUN_REALMODE) += x86.o
-obj-$(CONFIG_PCI_OPTION_ROM_RUN_REALMODE) += x86_asm.o
-obj-$(CONFIG_PCI_OPTION_ROM_RUN_REALMODE) += x86_interrupts.o
+ramstage-$(CONFIG_PCI_OPTION_ROM_RUN_REALMODE) += x86.c
+ramstage-$(CONFIG_PCI_OPTION_ROM_RUN_REALMODE) += x86_asm.S
+ramstage-$(CONFIG_PCI_OPTION_ROM_RUN_REALMODE) += x86_interrupts.c
subdirs-$(CONFIG_PCI_OPTION_ROM_RUN_YABEL) += x86emu
subdirs-$(CONFIG_PCI_OPTION_ROM_RUN_YABEL) += yabel
diff --git a/src/devices/oprom/x86emu/Makefile.inc b/src/devices/oprom/x86emu/Makefile.inc
index 2c5f7a7eb954..620e5f8771ba 100644
--- a/src/devices/oprom/x86emu/Makefile.inc
+++ b/src/devices/oprom/x86emu/Makefile.inc
@@ -1,7 +1,7 @@
-obj-y += debug.o
-obj-y += decode.o
-obj-y += fpu.o
-obj-y += ops.o
-obj-y += ops2.o
-obj-y += prim_ops.o
-obj-y += sys.o
+ramstage-y += debug.c
+ramstage-y += decode.c
+ramstage-y += fpu.c
+ramstage-y += ops.c
+ramstage-y += ops2.c
+ramstage-y += prim_ops.c
+ramstage-y += sys.c
diff --git a/src/devices/oprom/yabel/Makefile.inc b/src/devices/oprom/yabel/Makefile.inc
index f89de9b7dd5f..f05998cba585 100644
--- a/src/devices/oprom/yabel/Makefile.inc
+++ b/src/devices/oprom/yabel/Makefile.inc
@@ -1,9 +1,9 @@
-obj-y += biosemu.o
-obj-y += debug.o
-obj-y += device.o
-obj-y += interrupt.o
-obj-y += io.o
-obj-y += mem.o
-obj-y += pmm.o
-obj-y += vbe.o
+ramstage-y += biosemu.c
+ramstage-y += debug.c
+ramstage-y += device.c
+ramstage-y += interrupt.c
+ramstage-y += io.c
+ramstage-y += mem.c
+ramstage-y += pmm.c
+ramstage-y += vbe.c
subdirs-y += compat
diff --git a/src/devices/oprom/yabel/compat/Makefile.inc b/src/devices/oprom/yabel/compat/Makefile.inc
index 00080f5406d8..8121c8b461ed 100644
--- a/src/devices/oprom/yabel/compat/Makefile.inc
+++ b/src/devices/oprom/yabel/compat/Makefile.inc
@@ -1 +1 @@
-obj-y += functions.o
+ramstage-y += functions.c
diff --git a/src/drivers/ati/ragexl/Makefile.inc b/src/drivers/ati/ragexl/Makefile.inc
index 9fac872ef563..107885e4adf8 100644
--- a/src/drivers/ati/ragexl/Makefile.inc
+++ b/src/drivers/ati/ragexl/Makefile.inc
@@ -1 +1 @@
-driver-$(CONFIG_ATI_RAGE_XL) += xlinit.o
+driver-$(CONFIG_ATI_RAGE_XL) += xlinit.c
diff --git a/src/drivers/dec/21143/Makefile.inc b/src/drivers/dec/21143/Makefile.inc
index a0a84837bab8..f1e24f27d65d 100644
--- a/src/drivers/dec/21143/Makefile.inc
+++ b/src/drivers/dec/21143/Makefile.inc
@@ -1,2 +1,2 @@
-driver-y += 21143.o
+driver-y += 21143.c
diff --git a/src/drivers/generic/debug/Makefile.inc b/src/drivers/generic/debug/Makefile.inc
index 9e0a2cd8c1eb..a6a3bd204731 100644
--- a/src/drivers/generic/debug/Makefile.inc
+++ b/src/drivers/generic/debug/Makefile.inc
@@ -1 +1 @@
-obj-$(CONFIG_DRIVERS_GENERIC_DEBUG) += debug_dev.o
+ramstage-$(CONFIG_DRIVERS_GENERIC_DEBUG) += debug_dev.c
diff --git a/src/drivers/sil/3114/Makefile.inc b/src/drivers/sil/3114/Makefile.inc
index 3af907a5fcd7..77c348ffffd4 100644
--- a/src/drivers/sil/3114/Makefile.inc
+++ b/src/drivers/sil/3114/Makefile.inc
@@ -1 +1 @@
-driver-$(CONFIG_DRIVERS_SIL_3114) += sil_sata.o
+driver-$(CONFIG_DRIVERS_SIL_3114) += sil_sata.c
diff --git a/src/lib/Makefile.inc b/src/lib/Makefile.inc
index b30da268c3a2..e4f13dc1edcf 100644
--- a/src/lib/Makefile.inc
+++ b/src/lib/Makefile.inc
@@ -1,37 +1,37 @@
-obj-y += clog2.o
-obj-y += uart8250.o
-obj-y += memset.o
-obj-y += memcpy.o
-obj-y += memcmp.o
-obj-y += memmove.o
-obj-y += malloc.o
-obj-y += delay.o
-obj-y += fallback_boot.o
-obj-y += compute_ip_checksum.o
-obj-y += version.o
-obj-y += cbfs.o
-obj-y += lzma.o
-#obj-y += lzmadecode.o
-obj-y += gcc.o
-obj-y += cbmem.o
+ramstage-y += clog2.c
+ramstage-y += uart8250.c
+ramstage-y += memset.c
+ramstage-y += memcpy.c
+ramstage-y += memcmp.c
+ramstage-y += memmove.c
+ramstage-y += malloc.c
+ramstage-y += delay.c
+ramstage-y += fallback_boot.c
+ramstage-y += compute_ip_checksum.c
+ramstage-y += version.c
+ramstage-y += cbfs.c
+ramstage-y += lzma.c
+#ramstage-y += lzmadecode.c
+ramstage-y += gcc.c
+ramstage-y += cbmem.c
-initobj-y += uart8250.o
-initobj-y += memset.o
-initobj-y += memcpy.o
-initobj-y += memcmp.o
-initobj-y += cbfs.o
-initobj-y += lzma.o
-#initobj-y += lzmadecode.o
-initobj-$(CONFIG_CONSOLE_NE2K) += ne2k.o
-initobj-$(CONFIG_CONSOLE_NE2K) += compute_ip_checksum.o
-driver-$(CONFIG_CONSOLE_NE2K) += ne2k.o
+romstage-y += uart8250.c
+romstage-y += memset.c
+romstage-y += memcpy.c
+romstage-y += memcmp.c
+romstage-y += cbfs.c
+romstage-y += lzma.c
+#romstage-y += lzmadecode.c
+romstage-$(CONFIG_CONSOLE_NE2K) += ne2k.c
+romstage-$(CONFIG_CONSOLE_NE2K) += compute_ip_checksum.c
+driver-$(CONFIG_CONSOLE_NE2K) += ne2k.c
-obj-$(CONFIG_USBDEBUG) += usbdebug.o
+ramstage-$(CONFIG_USBDEBUG) += usbdebug.c
-obj-$(CONFIG_COMPRESSED_PAYLOAD_LZMA) += lzma.o
+ramstage-$(CONFIG_COMPRESSED_PAYLOAD_LZMA) += lzma.c
-obj-$(CONFIG_BOOTSPLASH) += jpeg.o
+ramstage-$(CONFIG_BOOTSPLASH) += jpeg.c
-smmobj-y += memcpy.o
+smm-y += memcpy.c
-$(obj)/lib/version.o : $(obj)/build.h
+$(obj)/lib/version.ramstage.o : $(obj)/build.h
diff --git a/src/mainboard/amd/serengeti_cheetah/Makefile.inc b/src/mainboard/amd/serengeti_cheetah/Makefile.inc
index 8f4424e62274..b8cdba5a941a 100644
--- a/src/mainboard/amd/serengeti_cheetah/Makefile.inc
+++ b/src/mainboard/amd/serengeti_cheetah/Makefile.inc
@@ -17,4 +17,4 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-y += ../../../drivers/i2c/i2cmux/i2cmux.o
+ramstage-y += ../../../drivers/i2c/i2cmux/i2cmux.c
diff --git a/src/mainboard/amd/serengeti_cheetah_fam10/Makefile.inc b/src/mainboard/amd/serengeti_cheetah_fam10/Makefile.inc
index 78e805d268cc..70b429d9e648 100644
--- a/src/mainboard/amd/serengeti_cheetah_fam10/Makefile.inc
+++ b/src/mainboard/amd/serengeti_cheetah_fam10/Makefile.inc
@@ -17,4 +17,4 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-y += ../../../drivers/i2c/i2cmux2/i2cmux2.o
+ramstage-y += ../../../drivers/i2c/i2cmux2/i2cmux2.c
diff --git a/src/mainboard/broadcom/blast/Makefile.inc b/src/mainboard/broadcom/blast/Makefile.inc
index 76c3bf16d82f..9e76151ebc5c 100644
--- a/src/mainboard/broadcom/blast/Makefile.inc
+++ b/src/mainboard/broadcom/blast/Makefile.inc
@@ -1,4 +1,4 @@
# Needed by irq_tables and mptable and acpi_tables.
-obj-y += ../../../drivers/i2c/i2cmux2/i2cmux2.o
+ramstage-y += ../../../drivers/i2c/i2cmux2/i2cmux2.c
diff --git a/src/mainboard/emulation/qemu-x86/Makefile.inc b/src/mainboard/emulation/qemu-x86/Makefile.inc
index 20ca9771ab63..5ba0d144d4fd 100644
--- a/src/mainboard/emulation/qemu-x86/Makefile.inc
+++ b/src/mainboard/emulation/qemu-x86/Makefile.inc
@@ -1,3 +1,3 @@
ROMCCFLAGS := -mcpu=i386 -O
-obj-y += northbridge.o
+ramstage-y += northbridge.c
diff --git a/src/mainboard/getac/p470/Makefile.inc b/src/mainboard/getac/p470/Makefile.inc
index fd280d75ee72..3b90bf8b7c63 100644
--- a/src/mainboard/getac/p470/Makefile.inc
+++ b/src/mainboard/getac/p470/Makefile.inc
@@ -17,7 +17,7 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += rtl8168.o
-obj-$(CONFIG_HAVE_ACPI_SLIC) += acpi_slic.o
+driver-y += rtl8168.c
+ramstage-$(CONFIG_HAVE_ACPI_SLIC) += acpi_slic.c
-smmobj-$(CONFIG_HAVE_SMI_HANDLER) += mainboard_smi.o
+smm-$(CONFIG_HAVE_SMI_HANDLER) += mainboard_smi.c
diff --git a/src/mainboard/gigabyte/m57sli/Makefile.inc b/src/mainboard/gigabyte/m57sli/Makefile.inc
index 36be8066fa28..2329bbd70f97 100644
--- a/src/mainboard/gigabyte/m57sli/Makefile.inc
+++ b/src/mainboard/gigabyte/m57sli/Makefile.inc
@@ -17,4 +17,4 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-$(CONFIG_SUPERIO_ITE_IT8716F_OVERRIDE_FANCTL) += fanctl.o
+ramstage-$(CONFIG_SUPERIO_ITE_IT8716F_OVERRIDE_FANCTL) += fanctl.c
diff --git a/src/mainboard/hp/dl145_g1/Makefile.inc b/src/mainboard/hp/dl145_g1/Makefile.inc
index f3c44cf415ed..d3097a13ea16 100644
--- a/src/mainboard/hp/dl145_g1/Makefile.inc
+++ b/src/mainboard/hp/dl145_g1/Makefile.inc
@@ -1 +1 @@
-obj-y += ../../../drivers/i2c/i2cmux/i2cmux.o \ No newline at end of file
+ramstage-y += ../../../drivers/i2c/i2cmux/i2cmux.c \ No newline at end of file
diff --git a/src/mainboard/ibase/mb899/Makefile.inc b/src/mainboard/ibase/mb899/Makefile.inc
index b6a23660c811..0d229275c7ce 100644
--- a/src/mainboard/ibase/mb899/Makefile.inc
+++ b/src/mainboard/ibase/mb899/Makefile.inc
@@ -17,6 +17,6 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-y += rtl8168.o
+ramstage-y += rtl8168.c
-smmobj-$(CONFIG_HAVE_SMI_HANDLER) += mainboard_smi.o
+smm-$(CONFIG_HAVE_SMI_HANDLER) += mainboard_smi.c
diff --git a/src/mainboard/intel/d945gclf/Makefile.inc b/src/mainboard/intel/d945gclf/Makefile.inc
index 4c6642f00350..eb0139931fa0 100644
--- a/src/mainboard/intel/d945gclf/Makefile.inc
+++ b/src/mainboard/intel/d945gclf/Makefile.inc
@@ -17,6 +17,6 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += rtl8168.o
+driver-y += rtl8168.c
-smmobj-$(CONFIG_HAVE_SMI_HANDLER) += mainboard_smi.o
+smm-$(CONFIG_HAVE_SMI_HANDLER) += mainboard_smi.c
diff --git a/src/mainboard/kontron/986lcd-m/Makefile.inc b/src/mainboard/kontron/986lcd-m/Makefile.inc
index 591d06484800..8ca1aeb792af 100644
--- a/src/mainboard/kontron/986lcd-m/Makefile.inc
+++ b/src/mainboard/kontron/986lcd-m/Makefile.inc
@@ -17,6 +17,6 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += rtl8168.o
+driver-y += rtl8168.c
-smmobj-$(CONFIG_HAVE_SMI_HANDLER) += mainboard_smi.o
+smm-$(CONFIG_HAVE_SMI_HANDLER) += mainboard_smi.c
diff --git a/src/mainboard/msi/ms9282/Makefile.inc b/src/mainboard/msi/ms9282/Makefile.inc
index e94ce3fd0e9c..e8d9af1b7f27 100644
--- a/src/mainboard/msi/ms9282/Makefile.inc
+++ b/src/mainboard/msi/ms9282/Makefile.inc
@@ -18,6 +18,6 @@
##
# FIXME drivers should be selected through Kconfig
-obj-y += ../../../drivers/i2c/i2cmux2/i2cmux2.o
-obj-y += ../../../drivers/i2c/adm1027/adm1027.o
+ramstage-y += ../../../drivers/i2c/i2cmux2/i2cmux2.c
+ramstage-y += ../../../drivers/i2c/adm1027/adm1027.c
diff --git a/src/mainboard/rca/rm4100/Makefile.inc b/src/mainboard/rca/rm4100/Makefile.inc
index 6c034c0e1f41..3a3371a19c3c 100644
--- a/src/mainboard/rca/rm4100/Makefile.inc
+++ b/src/mainboard/rca/rm4100/Makefile.inc
@@ -1,2 +1,2 @@
-smmobj-$(CONFIG_HAVE_SMI_HANDLER) += mainboard_smi.o
+smm-$(CONFIG_HAVE_SMI_HANDLER) += mainboard_smi.c
diff --git a/src/mainboard/roda/rk886ex/Makefile.inc b/src/mainboard/roda/rk886ex/Makefile.inc
index f92043d7abc1..e36824cce1c7 100644
--- a/src/mainboard/roda/rk886ex/Makefile.inc
+++ b/src/mainboard/roda/rk886ex/Makefile.inc
@@ -17,8 +17,8 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-y += m3885.o
-obj-y += ec.o
-driver-y += rtl8168.o
+ramstage-y += m3885.c
+ramstage-y += ec.c
+driver-y += rtl8168.c
-smmobj-$(CONFIG_HAVE_SMI_HANDLER) += mainboard_smi.o
+smm-$(CONFIG_HAVE_SMI_HANDLER) += mainboard_smi.c
diff --git a/src/mainboard/supermicro/h8dme/Makefile.inc b/src/mainboard/supermicro/h8dme/Makefile.inc
index 132161309da1..765096de9130 100644
--- a/src/mainboard/supermicro/h8dme/Makefile.inc
+++ b/src/mainboard/supermicro/h8dme/Makefile.inc
@@ -17,6 +17,6 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-y += ../../../drivers/i2c/i2cmux2/i2cmux2.o
+ramstage-y += ../../../drivers/i2c/i2cmux2/i2cmux2.c
# Needed by irq_tables and mptable and acpi_tables.
diff --git a/src/mainboard/supermicro/x6dhe_g/Makefile.inc b/src/mainboard/supermicro/x6dhe_g/Makefile.inc
index 3c5bdbde53bd..30eacbd955e0 100644
--- a/src/mainboard/supermicro/x6dhe_g/Makefile.inc
+++ b/src/mainboard/supermicro/x6dhe_g/Makefile.inc
@@ -19,4 +19,4 @@
##
ROMCCFLAGS=-mcpu=p4 -O2
-obj-y += ../../../drivers/generic/debug/debug_dev.o
+ramstage-y += ../../../drivers/generic/debug/debug_dev.c
diff --git a/src/mainboard/supermicro/x6dhe_g2/Makefile.inc b/src/mainboard/supermicro/x6dhe_g2/Makefile.inc
index 3c5bdbde53bd..30eacbd955e0 100644
--- a/src/mainboard/supermicro/x6dhe_g2/Makefile.inc
+++ b/src/mainboard/supermicro/x6dhe_g2/Makefile.inc
@@ -19,4 +19,4 @@
##
ROMCCFLAGS=-mcpu=p4 -O2
-obj-y += ../../../drivers/generic/debug/debug_dev.o
+ramstage-y += ../../../drivers/generic/debug/debug_dev.c
diff --git a/src/mainboard/technexion/tim5690/Makefile.inc b/src/mainboard/technexion/tim5690/Makefile.inc
index cc78a0000bdd..d9e804645ff1 100644
--- a/src/mainboard/technexion/tim5690/Makefile.inc
+++ b/src/mainboard/technexion/tim5690/Makefile.inc
@@ -20,7 +20,7 @@
# Needed by irq_tables and mptable and acpi_tables.
# This is debug message for products of Technexion.
-obj-y += tn_post_code.o
+ramstage-y += tn_post_code.c
-obj-y += speaker.o
-obj-y += vgabios.o
+ramstage-y += speaker.c
+ramstage-y += vgabios.c
diff --git a/src/mainboard/thomson/ip1000/Makefile.inc b/src/mainboard/thomson/ip1000/Makefile.inc
index 6c034c0e1f41..3a3371a19c3c 100644
--- a/src/mainboard/thomson/ip1000/Makefile.inc
+++ b/src/mainboard/thomson/ip1000/Makefile.inc
@@ -1,2 +1,2 @@
-smmobj-$(CONFIG_HAVE_SMI_HANDLER) += mainboard_smi.o
+smm-$(CONFIG_HAVE_SMI_HANDLER) += mainboard_smi.c
diff --git a/src/mainboard/tyan/s2881/Makefile.inc b/src/mainboard/tyan/s2881/Makefile.inc
index 31bfe0fecfec..1de4a6c49c1e 100644
--- a/src/mainboard/tyan/s2881/Makefile.inc
+++ b/src/mainboard/tyan/s2881/Makefile.inc
@@ -1 +1 @@
-obj-y += ../../../drivers/i2c/adt7463/adt7463.o
+ramstage-y += ../../../drivers/i2c/adt7463/adt7463.c
diff --git a/src/mainboard/tyan/s2892/Makefile.inc b/src/mainboard/tyan/s2892/Makefile.inc
index 3094c1365833..c371ad0d2d8d 100644
--- a/src/mainboard/tyan/s2892/Makefile.inc
+++ b/src/mainboard/tyan/s2892/Makefile.inc
@@ -1,2 +1,2 @@
-obj-y += ../../../drivers/i2c/adm1027/adm1027.o
+ramstage-y += ../../../drivers/i2c/adm1027/adm1027.c
diff --git a/src/mainboard/via/epia-m700/Kconfig b/src/mainboard/via/epia-m700/Kconfig
index 89434edd0530..a633b2efaea2 100644
--- a/src/mainboard/via/epia-m700/Kconfig
+++ b/src/mainboard/via/epia-m700/Kconfig
@@ -8,7 +8,6 @@ config BOARD_SPECIFIC_OPTIONS # dummy
select SUPERIO_WINBOND_W83697HF
select BOARD_HAS_FADT
select HAVE_OPTION_TABLE
- select HAVE_ACPI_TABLES
select BOARD_ROMSIZE_KB_512
config MAINBOARD_DIR
diff --git a/src/mainboard/via/epia-m700/Makefile.inc b/src/mainboard/via/epia-m700/Makefile.inc
index 761c07a30069..0308491b887c 100644
--- a/src/mainboard/via/epia-m700/Makefile.inc
+++ b/src/mainboard/via/epia-m700/Makefile.inc
@@ -22,5 +22,5 @@
# This code is unused and should be replaced by the generic resume code
# completely. If anyone works on wakeup for this chipset/board, delete
# wakeup.c when you are done.
-# obj-y += wakeup.o
+# ramstage-y += wakeup.c
diff --git a/src/mainboard/via/epia-m700/dsdt.c b/src/mainboard/via/epia-m700/dsdt.c
deleted file mode 100644
index 953e1f3ee85f..000000000000
--- a/src/mainboard/via/epia-m700/dsdt.c
+++ /dev/null
@@ -1,24 +0,0 @@
-/*
- * This file is part of the coreboot project.
- *
- * Copyright (C) 2009 One Laptop per Child, Association, Inc.
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 2 of the License, or
- * (at your option) any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
- */
-
-unsigned char AmlCode_dsdt[] = {
- 0x44, 0x53, 0x44, 0x54, 0x0F, 0x3C, 0x00, 0x00,
- /* Removed for lincense issue. See get_dsdt script. */
-};
diff --git a/src/mainboard/via/epia-m700/ssdt.c b/src/mainboard/via/epia-m700/ssdt.c
deleted file mode 100644
index 7c1e087a3d72..000000000000
--- a/src/mainboard/via/epia-m700/ssdt.c
+++ /dev/null
@@ -1,24 +0,0 @@
-/*
- * This file is part of the coreboot project.
- *
- * Copyright (C) 2009 One Laptop per Child, Association, Inc.
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 2 of the License, or
- * (at your option) any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
- */
-
-unsigned char AmlCode_ssdt[] = {
- 0x53, 0x53, 0x44, 0x54, 0xA7, 0x01, 0x00, 0x00,
- /* Removed for licese issue. */
-};
diff --git a/src/northbridge/amd/amdfam10/Makefile.inc b/src/northbridge/amd/amdfam10/Makefile.inc
index 91f488d50a24..05e66d6f2da2 100644
--- a/src/northbridge/amd/amdfam10/Makefile.inc
+++ b/src/northbridge/amd/amdfam10/Makefile.inc
@@ -1,16 +1,16 @@
-driver-y += northbridge.o
-driver-y += misc_control.o
+driver-y += northbridge.c
+driver-y += misc_control.c
-obj-$(CONFIG_GENERATE_ACPI_TABLES) += amdfam10_acpi.o
-obj-$(CONFIG_GENERATE_ACPI_TABLES) += ssdt.o
-obj-$(CONFIG_GENERATE_ACPI_TABLES) += sspr1.o
-obj-$(CONFIG_GENERATE_ACPI_TABLES) += sspr2.o
-obj-$(CONFIG_GENERATE_ACPI_TABLES) += sspr3.o
-obj-$(CONFIG_GENERATE_ACPI_TABLES) += sspr4.o
-obj-$(CONFIG_GENERATE_ACPI_TABLES) += sspr5.o
+ramstage-$(CONFIG_GENERATE_ACPI_TABLES) += amdfam10_acpi.c
+ramstage-$(CONFIG_GENERATE_ACPI_TABLES) += ssdt.asl
+ramstage-$(CONFIG_GENERATE_ACPI_TABLES) += sspr1.asl
+ramstage-$(CONFIG_GENERATE_ACPI_TABLES) += sspr2.asl
+ramstage-$(CONFIG_GENERATE_ACPI_TABLES) += sspr3.asl
+ramstage-$(CONFIG_GENERATE_ACPI_TABLES) += sspr4.asl
+ramstage-$(CONFIG_GENERATE_ACPI_TABLES) += sspr5.asl
-obj-y += get_pci1234.o
+ramstage-y += get_pci1234.c
# Enable this if you want to check the values of the PCI routing registers.
# Call show_all_routes() anywhere amdfam10.h is included.
-#obj-y += util.o
+#ramstage-y += util.c
diff --git a/src/northbridge/amd/amdk8/Makefile.inc b/src/northbridge/amd/amdk8/Makefile.inc
index 1a8631ddb9d0..f5c4d19fbbad 100644
--- a/src/northbridge/amd/amdk8/Makefile.inc
+++ b/src/northbridge/amd/amdk8/Makefile.inc
@@ -1,11 +1,11 @@
-driver-y += northbridge.o
-driver-y += misc_control.o
-obj-y += get_sblk_pci1234.o
-obj-$(CONFIG_GENERATE_ACPI_TABLES) += amdk8_acpi.o
+driver-y += northbridge.c
+driver-y += misc_control.c
+ramstage-y += get_sblk_pci1234.c
+ramstage-$(CONFIG_GENERATE_ACPI_TABLES) += amdk8_acpi.c
# Enable this if you want to check the values of the PCI routing registers.
# Call show_all_routes() anywhere amdk8.h is included.
-#obj-y += util.o
+#ramstage-y += util.c
# Not sure what to do with these yet. How did raminit_test even work?
# Should be a target in -y form.
diff --git a/src/northbridge/amd/gx1/Makefile.inc b/src/northbridge/amd/gx1/Makefile.inc
index c6b480940f68..16d702a24e2b 100644
--- a/src/northbridge/amd/gx1/Makefile.inc
+++ b/src/northbridge/amd/gx1/Makefile.inc
@@ -18,5 +18,5 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += northbridge.o
+driver-y += northbridge.c
diff --git a/src/northbridge/amd/gx2/Makefile.inc b/src/northbridge/amd/gx2/Makefile.inc
index f86105fd2eaa..482aff92aad4 100644
--- a/src/northbridge/amd/gx2/Makefile.inc
+++ b/src/northbridge/amd/gx2/Makefile.inc
@@ -1,3 +1,3 @@
-driver-y += northbridge.o
-obj-y += northbridgeinit.o
-obj-y += grphinit.o
+driver-y += northbridge.c
+ramstage-y += northbridgeinit.c
+ramstage-y += grphinit.c
diff --git a/src/northbridge/amd/lx/Makefile.inc b/src/northbridge/amd/lx/Makefile.inc
index f86105fd2eaa..482aff92aad4 100644
--- a/src/northbridge/amd/lx/Makefile.inc
+++ b/src/northbridge/amd/lx/Makefile.inc
@@ -1,3 +1,3 @@
-driver-y += northbridge.o
-obj-y += northbridgeinit.o
-obj-y += grphinit.o
+driver-y += northbridge.c
+ramstage-y += northbridgeinit.c
+ramstage-y += grphinit.c
diff --git a/src/northbridge/intel/e7501/Makefile.inc b/src/northbridge/intel/e7501/Makefile.inc
index ea44b26cbefa..23eada5ad3f3 100644
--- a/src/northbridge/intel/e7501/Makefile.inc
+++ b/src/northbridge/intel/e7501/Makefile.inc
@@ -1 +1 @@
-obj-y += northbridge.o
+ramstage-y += northbridge.c
diff --git a/src/northbridge/intel/e7520/Makefile.inc b/src/northbridge/intel/e7520/Makefile.inc
index a23c31de895b..32c68365e865 100644
--- a/src/northbridge/intel/e7520/Makefile.inc
+++ b/src/northbridge/intel/e7520/Makefile.inc
@@ -1,5 +1,5 @@
-driver-y += northbridge.o
-driver-y += pciexp_porta.o
-driver-y += pciexp_porta1.o
-driver-y += pciexp_portb.o
-driver-y += pciexp_portc.o
+driver-y += northbridge.c
+driver-y += pciexp_porta.c
+driver-y += pciexp_porta1.c
+driver-y += pciexp_portb.c
+driver-y += pciexp_portc.c
diff --git a/src/northbridge/intel/e7525/Makefile.inc b/src/northbridge/intel/e7525/Makefile.inc
index a23c31de895b..32c68365e865 100644
--- a/src/northbridge/intel/e7525/Makefile.inc
+++ b/src/northbridge/intel/e7525/Makefile.inc
@@ -1,5 +1,5 @@
-driver-y += northbridge.o
-driver-y += pciexp_porta.o
-driver-y += pciexp_porta1.o
-driver-y += pciexp_portb.o
-driver-y += pciexp_portc.o
+driver-y += northbridge.c
+driver-y += pciexp_porta.c
+driver-y += pciexp_porta1.c
+driver-y += pciexp_portb.c
+driver-y += pciexp_portc.c
diff --git a/src/northbridge/intel/i3100/Makefile.inc b/src/northbridge/intel/i3100/Makefile.inc
index c776b058f815..c2de0fcb58ca 100644
--- a/src/northbridge/intel/i3100/Makefile.inc
+++ b/src/northbridge/intel/i3100/Makefile.inc
@@ -1,3 +1,3 @@
-driver-y += northbridge.o
-driver-y += pciexp_porta.o
-driver-y += pciexp_porta_ep80579.o
+driver-y += northbridge.c
+driver-y += pciexp_porta.c
+driver-y += pciexp_porta_ep80579.c
diff --git a/src/northbridge/intel/i440bx/Makefile.inc b/src/northbridge/intel/i440bx/Makefile.inc
index c6b480940f68..16d702a24e2b 100644
--- a/src/northbridge/intel/i440bx/Makefile.inc
+++ b/src/northbridge/intel/i440bx/Makefile.inc
@@ -18,5 +18,5 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += northbridge.o
+driver-y += northbridge.c
diff --git a/src/northbridge/intel/i440lx/Makefile.inc b/src/northbridge/intel/i440lx/Makefile.inc
index c6b480940f68..16d702a24e2b 100644
--- a/src/northbridge/intel/i440lx/Makefile.inc
+++ b/src/northbridge/intel/i440lx/Makefile.inc
@@ -18,5 +18,5 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += northbridge.o
+driver-y += northbridge.c
diff --git a/src/northbridge/intel/i82810/Makefile.inc b/src/northbridge/intel/i82810/Makefile.inc
index c6b480940f68..16d702a24e2b 100644
--- a/src/northbridge/intel/i82810/Makefile.inc
+++ b/src/northbridge/intel/i82810/Makefile.inc
@@ -18,5 +18,5 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += northbridge.o
+driver-y += northbridge.c
diff --git a/src/northbridge/intel/i82830/Makefile.inc b/src/northbridge/intel/i82830/Makefile.inc
index 57dedfde73be..e3a990aa44be 100644
--- a/src/northbridge/intel/i82830/Makefile.inc
+++ b/src/northbridge/intel/i82830/Makefile.inc
@@ -1,4 +1,4 @@
-driver-y += northbridge.o
-driver-y += vga.o
+driver-y += northbridge.c
+driver-y += vga.c
-smmobj-$(CONFIG_HAVE_SMI_HANDLER) += i82830_smihandler.o
+smm-$(CONFIG_HAVE_SMI_HANDLER) += i82830_smihandler.c
diff --git a/src/northbridge/intel/i855/Makefile.inc b/src/northbridge/intel/i855/Makefile.inc
index ea44b26cbefa..23eada5ad3f3 100644
--- a/src/northbridge/intel/i855/Makefile.inc
+++ b/src/northbridge/intel/i855/Makefile.inc
@@ -1 +1 @@
-obj-y += northbridge.o
+ramstage-y += northbridge.c
diff --git a/src/northbridge/intel/i945/Makefile.inc b/src/northbridge/intel/i945/Makefile.inc
index 5447e4406f3c..57362c6c478a 100644
--- a/src/northbridge/intel/i945/Makefile.inc
+++ b/src/northbridge/intel/i945/Makefile.inc
@@ -17,6 +17,6 @@
# Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
#
-driver-y += northbridge.o
-driver-y += gma.o
-obj-$(CONFIG_GENERATE_ACPI_TABLES) += acpi.o
+driver-y += northbridge.c
+driver-y += gma.c
+ramstage-$(CONFIG_GENERATE_ACPI_TABLES) += acpi.c
diff --git a/src/northbridge/via/cn400/Makefile.inc b/src/northbridge/via/cn400/Makefile.inc
index 89bff39862dd..64eab387395e 100644
--- a/src/northbridge/via/cn400/Makefile.inc
+++ b/src/northbridge/via/cn400/Makefile.inc
@@ -18,8 +18,8 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += northbridge.o
-driver-y += agp.o
-driver-y += vga.o
-driver-y += vlink.o
+driver-y += northbridge.c
+driver-y += agp.c
+driver-y += vga.c
+driver-y += vlink.c
diff --git a/src/northbridge/via/cn700/Makefile.inc b/src/northbridge/via/cn700/Makefile.inc
index c0aa7b9e43c2..1654111d8e7d 100644
--- a/src/northbridge/via/cn700/Makefile.inc
+++ b/src/northbridge/via/cn700/Makefile.inc
@@ -18,7 +18,7 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += northbridge.o
-driver-y += agp.o
-driver-y += vga.o
+driver-y += northbridge.c
+driver-y += agp.c
+driver-y += vga.c
diff --git a/src/northbridge/via/cx700/Makefile.inc b/src/northbridge/via/cx700/Makefile.inc
index 1221fd5f8b9c..f67cc147a290 100644
--- a/src/northbridge/via/cx700/Makefile.inc
+++ b/src/northbridge/via/cx700/Makefile.inc
@@ -17,10 +17,10 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-y += cx700_reset.o
-obj-y += northbridge.o
+ramstage-y += cx700_reset.c
+ramstage-y += northbridge.c
-driver-y += cx700_agp.o
-driver-y += cx700_lpc.o
-driver-y += cx700_sata.o
-driver-y += cx700_vga.o
+driver-y += cx700_agp.c
+driver-y += cx700_lpc.c
+driver-y += cx700_sata.c
+driver-y += cx700_vga.c
diff --git a/src/northbridge/via/vt8601/Makefile.inc b/src/northbridge/via/vt8601/Makefile.inc
index c9dc918ecc32..126fe910b450 100644
--- a/src/northbridge/via/vt8601/Makefile.inc
+++ b/src/northbridge/via/vt8601/Makefile.inc
@@ -18,5 +18,5 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += northbridge.o
+driver-y += northbridge.c
diff --git a/src/northbridge/via/vt8623/Makefile.inc b/src/northbridge/via/vt8623/Makefile.inc
index 47682ad13d87..7f6f77d32835 100644
--- a/src/northbridge/via/vt8623/Makefile.inc
+++ b/src/northbridge/via/vt8623/Makefile.inc
@@ -18,6 +18,6 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += northbridge.o
-driver-y += vga.o
+driver-y += northbridge.c
+driver-y += vga.c
diff --git a/src/northbridge/via/vx800/Makefile.inc b/src/northbridge/via/vx800/Makefile.inc
index c44266d0c348..de6c491ebe65 100644
--- a/src/northbridge/via/vx800/Makefile.inc
+++ b/src/northbridge/via/vx800/Makefile.inc
@@ -18,10 +18,10 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += northbridge.o
-driver-y += vga.o
-driver-y += vx800_lpc.o
-driver-y += vx800_ide.o
+driver-y += northbridge.c
+driver-y += vga.c
+driver-y += vx800_lpc.c
+driver-y += vx800_ide.c
chipset_bootblock_inc += $(src)/northbridge/via/vx800/romstrap.inc
chipset_bootblock_lds += $(src)/northbridge/via/vx800/romstrap.lds
diff --git a/src/pc80/Makefile.inc b/src/pc80/Makefile.inc
index fe7e8e87508c..01ad0dca1661 100644
--- a/src/pc80/Makefile.inc
+++ b/src/pc80/Makefile.inc
@@ -1,11 +1,12 @@
-obj-y += mc146818rtc.o
-obj-y += isa-dma.o
-obj-y += i8259.o
-obj-$(CONFIG_UDELAY_IO) += udelay_io.o
-obj-y += keyboard.o
-initobj-$(CONFIG_USE_OPTION_TABLE) += mc146818rtc_early.o
-initobj-$(CONFIG_CACHE_AS_RAM) += serial.o
+ramstage-y += mc146818rtc.c
+ramstage-y += isa-dma.c
+ramstage-y += i8259.c
+ramstage-$(CONFIG_UDELAY_IO) += udelay_io.c
+ramstage-y += keyboard.c
+
+romstage-$(CONFIG_USE_OPTION_TABLE) += mc146818rtc_early.c
+romstage-$(CONFIG_CACHE_AS_RAM) += serial.c
subdirs-y += vga
-$(obj)/pc80/mc146818rtc.o : $(OPTION_TABLE_H)
-$(obj)/pc80/mc146818rtc_early.initobj.o : $(OPTION_TABLE_H)
+$(obj)/pc80/mc146818rtc.ramstage.o : $(OPTION_TABLE_H)
+$(obj)/pc80/mc146818rtc_early.romstage.o : $(OPTION_TABLE_H)
diff --git a/src/pc80/vga/Makefile.inc b/src/pc80/vga/Makefile.inc
index f5b75c0c3f2b..bc6808407337 100644
--- a/src/pc80/vga/Makefile.inc
+++ b/src/pc80/vga/Makefile.inc
@@ -1,2 +1,2 @@
-obj-y += vga_io.o
-obj-$(CONFIG_VGA) += vga.o
+ramstage-y += vga_io.c
+ramstage-$(CONFIG_VGA) += vga.c
diff --git a/src/southbridge/amd/amd8111/Makefile.inc b/src/southbridge/amd/amd8111/Makefile.inc
index 9ffbe566a2fe..b58fbaac8848 100644
--- a/src/southbridge/amd/amd8111/Makefile.inc
+++ b/src/southbridge/amd/amd8111/Makefile.inc
@@ -1,11 +1,11 @@
-driver-y += amd8111.o
-driver-y += amd8111_usb.o
-driver-y += amd8111_lpc.o
-driver-y += amd8111_ide.o
-driver-y += amd8111_acpi.o
-driver-y += amd8111_usb2.o
-driver-y += amd8111_ac97.o
-driver-y += amd8111_nic.o
-driver-y += amd8111_pci.o
-driver-y += amd8111_smbus.o
-obj-y += amd8111_reset.o
+driver-y += amd8111.c
+driver-y += amd8111_usb.c
+driver-y += amd8111_lpc.c
+driver-y += amd8111_ide.c
+driver-y += amd8111_acpi.c
+driver-y += amd8111_usb2.c
+driver-y += amd8111_ac97.c
+driver-y += amd8111_nic.c
+driver-y += amd8111_pci.c
+driver-y += amd8111_smbus.c
+ramstage-y += amd8111_reset.c
diff --git a/src/southbridge/amd/amd8131/Makefile.inc b/src/southbridge/amd/amd8131/Makefile.inc
index 6a3e5bcf53e1..395f0e0e0c69 100644
--- a/src/southbridge/amd/amd8131/Makefile.inc
+++ b/src/southbridge/amd/amd8131/Makefile.inc
@@ -1 +1 @@
-driver-y += amd8131_bridge.o
+driver-y += amd8131_bridge.c
diff --git a/src/southbridge/amd/amd8132/Makefile.inc b/src/southbridge/amd/amd8132/Makefile.inc
index 283d687107b4..f1e844af1035 100644
--- a/src/southbridge/amd/amd8132/Makefile.inc
+++ b/src/southbridge/amd/amd8132/Makefile.inc
@@ -1 +1 @@
-driver-y += amd8132_bridge.o
+driver-y += amd8132_bridge.c
diff --git a/src/southbridge/amd/amd8151/Makefile.inc b/src/southbridge/amd/amd8151/Makefile.inc
index d62ff55d3b8c..d9b46989c6bf 100644
--- a/src/southbridge/amd/amd8151/Makefile.inc
+++ b/src/southbridge/amd/amd8151/Makefile.inc
@@ -1 +1 @@
-driver-y += amd8151_agp3.o
+driver-y += amd8151_agp3.c
diff --git a/src/southbridge/amd/cs5530/Makefile.inc b/src/southbridge/amd/cs5530/Makefile.inc
index fa793f81b925..f51369fac1e5 100644
--- a/src/southbridge/amd/cs5530/Makefile.inc
+++ b/src/southbridge/amd/cs5530/Makefile.inc
@@ -18,8 +18,8 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += cs5530.o
-driver-y += cs5530_isa.o
-driver-y += cs5530_ide.o
-driver-y += cs5530_vga.o
-driver-y += cs5530_pirq.o
+driver-y += cs5530.c
+driver-y += cs5530_isa.c
+driver-y += cs5530_ide.c
+driver-y += cs5530_vga.c
+driver-y += cs5530_pirq.c
diff --git a/src/southbridge/amd/cs5535/Makefile.inc b/src/southbridge/amd/cs5535/Makefile.inc
index 8af55640f376..ba092f125b90 100644
--- a/src/southbridge/amd/cs5535/Makefile.inc
+++ b/src/southbridge/amd/cs5535/Makefile.inc
@@ -1,4 +1,4 @@
-driver-y += cs5535.o
-#driver-y += cs5535_pci.o
-#driver-y += cs5535_ide.o
-obj-y += chipsetinit.o
+driver-y += cs5535.c
+#driver-y += cs5535_pci.c
+#driver-y += cs5535_ide.c
+ramstage-y += chipsetinit.c
diff --git a/src/southbridge/amd/cs5536/Makefile.inc b/src/southbridge/amd/cs5536/Makefile.inc
index 478f7011024d..a1a36833fccf 100644
--- a/src/southbridge/amd/cs5536/Makefile.inc
+++ b/src/southbridge/amd/cs5536/Makefile.inc
@@ -17,6 +17,6 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += cs5536.o
-driver-y += cs5536_ide.o
-driver-y += cs5536_pirq.o
+driver-y += cs5536.c
+driver-y += cs5536_ide.c
+driver-y += cs5536_pirq.c
diff --git a/src/southbridge/amd/rs690/Makefile.inc b/src/southbridge/amd/rs690/Makefile.inc
index 875c028daa80..c728be56a4e6 100644
--- a/src/southbridge/amd/rs690/Makefile.inc
+++ b/src/southbridge/amd/rs690/Makefile.inc
@@ -1,5 +1,5 @@
-driver-y += rs690.o
-driver-y += rs690_cmn.o
-driver-y += rs690_pcie.o
-driver-y += rs690_ht.o
-driver-y += rs690_gfx.o
+driver-y += rs690.c
+driver-y += rs690_cmn.c
+driver-y += rs690_pcie.c
+driver-y += rs690_ht.c
+driver-y += rs690_gfx.c
diff --git a/src/southbridge/amd/rs780/Makefile.inc b/src/southbridge/amd/rs780/Makefile.inc
index 3d6fad10e39b..f76e517c82fe 100644
--- a/src/southbridge/amd/rs780/Makefile.inc
+++ b/src/southbridge/amd/rs780/Makefile.inc
@@ -1,5 +1,5 @@
-driver-y += rs780.o
-driver-y += rs780_cmn.o
-driver-y += rs780_pcie.o
-driver-y += rs780_ht.o
-driver-y += rs780_gfx.o
+driver-y += rs780.c
+driver-y += rs780_cmn.c
+driver-y += rs780_pcie.c
+driver-y += rs780_ht.c
+driver-y += rs780_gfx.c
diff --git a/src/southbridge/amd/sb600/Makefile.inc b/src/southbridge/amd/sb600/Makefile.inc
index 17e92638bc9f..b13d3de01f26 100644
--- a/src/southbridge/amd/sb600/Makefile.inc
+++ b/src/southbridge/amd/sb600/Makefile.inc
@@ -1,10 +1,10 @@
-driver-y += sb600.o
-driver-y += sb600_usb.o
-driver-y += sb600_lpc.o
-driver-y += sb600_sm.o
-driver-y += sb600_ide.o
-driver-y += sb600_sata.o
-driver-y += sb600_hda.o
-driver-y += sb600_ac97.o
-driver-y += sb600_pci.o
-obj-y += sb600_reset.o
+driver-y += sb600.c
+driver-y += sb600_usb.c
+driver-y += sb600_lpc.c
+driver-y += sb600_sm.c
+driver-y += sb600_ide.c
+driver-y += sb600_sata.c
+driver-y += sb600_hda.c
+driver-y += sb600_ac97.c
+driver-y += sb600_pci.c
+ramstage-y += sb600_reset.c
diff --git a/src/southbridge/amd/sb700/Makefile.inc b/src/southbridge/amd/sb700/Makefile.inc
index a70a2cf49d57..8e6868bb1722 100644
--- a/src/southbridge/amd/sb700/Makefile.inc
+++ b/src/southbridge/amd/sb700/Makefile.inc
@@ -1,9 +1,9 @@
-driver-y += sb700.o
-driver-y += sb700_usb.o
-driver-y += sb700_lpc.o
-driver-y += sb700_sm.o
-driver-y += sb700_ide.o
-driver-y += sb700_sata.o
-driver-y += sb700_hda.o
-driver-y += sb700_pci.o
-obj-y += sb700_reset.o
+driver-y += sb700.c
+driver-y += sb700_usb.c
+driver-y += sb700_lpc.c
+driver-y += sb700_sm.c
+driver-y += sb700_ide.c
+driver-y += sb700_sata.c
+driver-y += sb700_hda.c
+driver-y += sb700_pci.c
+ramstage-y += sb700_reset.c
diff --git a/src/southbridge/broadcom/bcm21000/Makefile.inc b/src/southbridge/broadcom/bcm21000/Makefile.inc
index a26e8d48ac46..246be282e285 100644
--- a/src/southbridge/broadcom/bcm21000/Makefile.inc
+++ b/src/southbridge/broadcom/bcm21000/Makefile.inc
@@ -1 +1 @@
-driver-y += bcm21000_pcie.o
+driver-y += bcm21000_pcie.c
diff --git a/src/southbridge/broadcom/bcm5780/Makefile.inc b/src/southbridge/broadcom/bcm5780/Makefile.inc
index d2edc995efb7..55c6e11ec190 100644
--- a/src/southbridge/broadcom/bcm5780/Makefile.inc
+++ b/src/southbridge/broadcom/bcm5780/Makefile.inc
@@ -1,3 +1,3 @@
-driver-y += bcm5780_nic.o
-driver-y += bcm5780_pcix.o
-driver-y += bcm5780_pcie.o
+driver-y += bcm5780_nic.c
+driver-y += bcm5780_pcix.c
+driver-y += bcm5780_pcie.c
diff --git a/src/southbridge/broadcom/bcm5785/Makefile.inc b/src/southbridge/broadcom/bcm5785/Makefile.inc
index 4e33aa21b644..e80ed35a347e 100644
--- a/src/southbridge/broadcom/bcm5785/Makefile.inc
+++ b/src/southbridge/broadcom/bcm5785/Makefile.inc
@@ -1,7 +1,7 @@
-driver-y += bcm5785.o
-driver-y += bcm5785_usb.o
-driver-y += bcm5785_lpc.o
-driver-y += bcm5785_sb_pci_main.o
-driver-y += bcm5785_ide.o
-driver-y += bcm5785_sata.o
-obj-y += bcm5785_reset.o
+driver-y += bcm5785.c
+driver-y += bcm5785_usb.c
+driver-y += bcm5785_lpc.c
+driver-y += bcm5785_sb_pci_main.c
+driver-y += bcm5785_ide.c
+driver-y += bcm5785_sata.c
+ramstage-y += bcm5785_reset.c
diff --git a/src/southbridge/intel/esb6300/Makefile.inc b/src/southbridge/intel/esb6300/Makefile.inc
index 38c66e071d62..c316488ce543 100644
--- a/src/southbridge/intel/esb6300/Makefile.inc
+++ b/src/southbridge/intel/esb6300/Makefile.inc
@@ -1,11 +1,11 @@
-driver-y += esb6300.o
-driver-y += esb6300_uhci.o
-driver-y += esb6300_lpc.o
-driver-y += esb6300_ide.o
-driver-y += esb6300_sata.o
-driver-y += esb6300_ehci.o
-driver-y += esb6300_smbus.o
-driver-y += esb6300_pci.o
-driver-y += esb6300_pic.o
-driver-y += esb6300_bridge1c.o
-driver-y += esb6300_ac97.o
+driver-y += esb6300.c
+driver-y += esb6300_uhci.c
+driver-y += esb6300_lpc.c
+driver-y += esb6300_ide.c
+driver-y += esb6300_sata.c
+driver-y += esb6300_ehci.c
+driver-y += esb6300_smbus.c
+driver-y += esb6300_pci.c
+driver-y += esb6300_pic.c
+driver-y += esb6300_bridge1c.c
+driver-y += esb6300_ac97.c
diff --git a/src/southbridge/intel/i3100/Makefile.inc b/src/southbridge/intel/i3100/Makefile.inc
index 0a658d3a6639..dcc1fb735721 100644
--- a/src/southbridge/intel/i3100/Makefile.inc
+++ b/src/southbridge/intel/i3100/Makefile.inc
@@ -1,9 +1,9 @@
-driver-y += i3100.o
-driver-y += i3100_uhci.o
-driver-y += i3100_lpc.o
-driver-y += i3100_sata.o
-driver-y += i3100_ehci.o
-driver-y += i3100_smbus.o
-driver-y += i3100_pci.o
-obj-y += i3100_reset.o
-obj-y += i3100_pciexp_portb.o
+driver-y += i3100.c
+driver-y += i3100_uhci.c
+driver-y += i3100_lpc.c
+driver-y += i3100_sata.c
+driver-y += i3100_ehci.c
+driver-y += i3100_smbus.c
+driver-y += i3100_pci.c
+ramstage-y += i3100_reset.c
+ramstage-y += i3100_pciexp_portb.c
diff --git a/src/southbridge/intel/i82371eb/Makefile.inc b/src/southbridge/intel/i82371eb/Makefile.inc
index 632f33e935bf..24892f242ded 100644
--- a/src/southbridge/intel/i82371eb/Makefile.inc
+++ b/src/southbridge/intel/i82371eb/Makefile.inc
@@ -18,11 +18,11 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += i82371eb.o
-driver-y += i82371eb_isa.o
-driver-y += i82371eb_ide.o
-driver-y += i82371eb_usb.o
-driver-y += i82371eb_smbus.o
-driver-y += i82371eb_reset.o
+driver-y += i82371eb.c
+driver-y += i82371eb_isa.c
+driver-y += i82371eb_ide.c
+driver-y += i82371eb_usb.c
+driver-y += i82371eb_smbus.c
+driver-y += i82371eb_reset.c
-#initobj-y += i82371eb_early_rom.o
+#romstage-y += i82371eb_early_rom.c
diff --git a/src/southbridge/intel/i82801ax/Makefile.inc b/src/southbridge/intel/i82801ax/Makefile.inc
index dab99bee785e..4d2288adc3be 100644
--- a/src/southbridge/intel/i82801ax/Makefile.inc
+++ b/src/southbridge/intel/i82801ax/Makefile.inc
@@ -18,16 +18,16 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += i82801ax.o
-driver-y += i82801ax_ac97.o
-driver-y += i82801ax_ide.o
-driver-y += i82801ax_lpc.o
-driver-y += i82801ax_pci.o
-# driver-y += i82801ax_smbus.o
-driver-y += i82801ax_usb.o
+driver-y += i82801ax.c
+driver-y += i82801ax_ac97.c
+driver-y += i82801ax_ide.c
+driver-y += i82801ax_lpc.c
+driver-y += i82801ax_pci.c
+# driver-y += i82801ax_smbus.c
+driver-y += i82801ax_usb.c
-obj-y += i82801ax_reset.o
-obj-y += i82801ax_watchdog.o
+ramstage-y += i82801ax_reset.c
+ramstage-y += i82801ax_watchdog.c
# TODO: Fix and enable i82801ax_smbus.o later.
diff --git a/src/southbridge/intel/i82801bx/Makefile.inc b/src/southbridge/intel/i82801bx/Makefile.inc
index cce5394af825..9ea80b7f78a3 100644
--- a/src/southbridge/intel/i82801bx/Makefile.inc
+++ b/src/southbridge/intel/i82801bx/Makefile.inc
@@ -18,19 +18,19 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += i82801bx.o
-driver-y += i82801bx_ac97.o
-driver-y += i82801bx_ide.o
-driver-y += i82801bx_lpc.o
-driver-y += i82801bx_nic.o
-driver-y += i82801bx_pci.o
-driver-y += i82801bx_sata.o
-# driver-y += i82801bx_smbus.o
-driver-y += i82801bx_usb.o
-driver-y += i82801bx_usb_ehci.o
+driver-y += i82801bx.c
+driver-y += i82801bx_ac97.c
+driver-y += i82801bx_ide.c
+driver-y += i82801bx_lpc.c
+driver-y += i82801bx_nic.c
+driver-y += i82801bx_pci.c
+driver-y += i82801bx_sata.c
+# driver-y += i82801bx_smbus.c
+driver-y += i82801bx_usb.c
+driver-y += i82801bx_usb_ehci.c
-obj-y += i82801bx_reset.o
-obj-y += i82801bx_watchdog.o
+ramstage-y += i82801bx_reset.c
+ramstage-y += i82801bx_watchdog.c
# TODO: Fix and enable i82801bx_smbus.o later.
diff --git a/src/southbridge/intel/i82801cx/Makefile.inc b/src/southbridge/intel/i82801cx/Makefile.inc
index 163c0726cb83..1e30c681a04e 100644
--- a/src/southbridge/intel/i82801cx/Makefile.inc
+++ b/src/southbridge/intel/i82801cx/Makefile.inc
@@ -1,8 +1,8 @@
-driver-y += i82801cx.o
-driver-y += i82801cx_usb.o
-driver-y += i82801cx_lpc.o
-driver-y += i82801cx_ide.o
-driver-y += i82801cx_ac97.o
-#driver-y += i82801cx_nic.o
-driver-y += i82801cx_pci.o
-obj-y += i82801cx_reset.o
+driver-y += i82801cx.c
+driver-y += i82801cx_usb.c
+driver-y += i82801cx_lpc.c
+driver-y += i82801cx_ide.c
+driver-y += i82801cx_ac97.c
+#driver-y += i82801cx_nic.c
+driver-y += i82801cx_pci.c
+ramstage-y += i82801cx_reset.c
diff --git a/src/southbridge/intel/i82801dx/Makefile.inc b/src/southbridge/intel/i82801dx/Makefile.inc
index 419ce1c1f3cb..7952b3b25c1f 100644
--- a/src/southbridge/intel/i82801dx/Makefile.inc
+++ b/src/southbridge/intel/i82801dx/Makefile.inc
@@ -19,15 +19,15 @@
## MA 02110-1301 USA
##
-driver-y += i82801dx.o
-driver-y += i82801dx_ac97.o
-driver-y += i82801dx_ide.o
-driver-y += i82801dx_lpc.o
-#driver-y += i82801dx_pci.o
-driver-y += i82801dx_usb.o
-driver-y += i82801dx_usb2.o
+driver-y += i82801dx.c
+driver-y += i82801dx_ac97.c
+driver-y += i82801dx_ide.c
+driver-y += i82801dx_lpc.c
+#driver-y += i82801dx_pci.c
+driver-y += i82801dx_usb.c
+driver-y += i82801dx_usb2.c
-obj-y += i82801dx_reset.o
-obj-$(CONFIG_HAVE_SMI_HANDLER) += i82801dx_smi.o
+ramstage-y += i82801dx_reset.c
+ramstage-$(CONFIG_HAVE_SMI_HANDLER) += i82801dx_smi.c
-smmobj-$(CONFIG_HAVE_SMI_HANDLER) += i82801dx_smihandler.o
+smm-$(CONFIG_HAVE_SMI_HANDLER) += i82801dx_smihandler.c
diff --git a/src/southbridge/intel/i82801ex/Makefile.inc b/src/southbridge/intel/i82801ex/Makefile.inc
index 66a217ba6a57..ddddae37b60a 100644
--- a/src/southbridge/intel/i82801ex/Makefile.inc
+++ b/src/southbridge/intel/i82801ex/Makefile.inc
@@ -1,11 +1,11 @@
-driver-y += i82801ex.o
-driver-y += i82801ex_uhci.o
-driver-y += i82801ex_lpc.o
-driver-y += i82801ex_ide.o
-driver-y += i82801ex_sata.o
-driver-y += i82801ex_ehci.o
-driver-y += i82801ex_smbus.o
-driver-y += i82801ex_pci.o
-driver-y += i82801ex_ac97.o
-obj-y += i82801ex_watchdog.o
-obj-y += i82801ex_reset.o
+driver-y += i82801ex.c
+driver-y += i82801ex_uhci.c
+driver-y += i82801ex_lpc.c
+driver-y += i82801ex_ide.c
+driver-y += i82801ex_sata.c
+driver-y += i82801ex_ehci.c
+driver-y += i82801ex_smbus.c
+driver-y += i82801ex_pci.c
+driver-y += i82801ex_ac97.c
+ramstage-y += i82801ex_watchdog.c
+ramstage-y += i82801ex_reset.c
diff --git a/src/southbridge/intel/i82801gx/Makefile.inc b/src/southbridge/intel/i82801gx/Makefile.inc
index 4a9491a4496e..a49c1b37605c 100644
--- a/src/southbridge/intel/i82801gx/Makefile.inc
+++ b/src/southbridge/intel/i82801gx/Makefile.inc
@@ -17,21 +17,21 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += i82801gx.o
-driver-y += i82801gx_ac97.o
-driver-y += i82801gx_azalia.o
-driver-y += i82801gx_ide.o
-driver-y += i82801gx_lpc.o
-driver-y += i82801gx_nic.o
-driver-y += i82801gx_pci.o
-driver-y += i82801gx_pcie.o
-driver-y += i82801gx_sata.o
-driver-y += i82801gx_smbus.o
-driver-y += i82801gx_usb.o
-driver-y += i82801gx_usb_ehci.o
+driver-y += i82801gx.c
+driver-y += i82801gx_ac97.c
+driver-y += i82801gx_azalia.c
+driver-y += i82801gx_ide.c
+driver-y += i82801gx_lpc.c
+driver-y += i82801gx_nic.c
+driver-y += i82801gx_pci.c
+driver-y += i82801gx_pcie.c
+driver-y += i82801gx_sata.c
+driver-y += i82801gx_smbus.c
+driver-y += i82801gx_usb.c
+driver-y += i82801gx_usb_ehci.c
-obj-y += i82801gx_reset.o
-obj-y += i82801gx_watchdog.o
+ramstage-y += i82801gx_reset.c
+ramstage-y += i82801gx_watchdog.c
-obj-$(CONFIG_HAVE_SMI_HANDLER) += i82801gx_smi.o
-smmobj-$(CONFIG_HAVE_SMI_HANDLER) += i82801gx_smihandler.o
+ramstage-$(CONFIG_HAVE_SMI_HANDLER) += i82801gx_smi.c
+smm-$(CONFIG_HAVE_SMI_HANDLER) += i82801gx_smihandler.c
diff --git a/src/southbridge/intel/i82870/Makefile.inc b/src/southbridge/intel/i82870/Makefile.inc
index 143d3b889fe5..8f4964573ef7 100644
--- a/src/southbridge/intel/i82870/Makefile.inc
+++ b/src/southbridge/intel/i82870/Makefile.inc
@@ -1,3 +1,3 @@
-driver-y += p64h2_ioapic.o
-driver-y += p64h2_pcibridge.o
-#driver-y += p64h2_pci_parity.o
+driver-y += p64h2_ioapic.c
+driver-y += p64h2_pcibridge.c
+#driver-y += p64h2_pci_parity.c
diff --git a/src/southbridge/intel/pxhd/Makefile.inc b/src/southbridge/intel/pxhd/Makefile.inc
index 4ab71045f645..30f1f69a63ef 100644
--- a/src/southbridge/intel/pxhd/Makefile.inc
+++ b/src/southbridge/intel/pxhd/Makefile.inc
@@ -1 +1 @@
-driver-y += pxhd_bridge.o
+driver-y += pxhd_bridge.c
diff --git a/src/southbridge/nvidia/ck804/Makefile.inc b/src/southbridge/nvidia/ck804/Makefile.inc
index 8960907b1520..bceec85737a3 100644
--- a/src/southbridge/nvidia/ck804/Makefile.inc
+++ b/src/southbridge/nvidia/ck804/Makefile.inc
@@ -1,19 +1,19 @@
-driver-y += ck804.o
-driver-y += ck804_usb.o
-driver-y += ck804_lpc.o
-driver-y += ck804_smbus.o
-driver-y += ck804_ide.o
-driver-y += ck804_sata.o
-driver-y += ck804_usb2.o
-driver-y += ck804_ac97.o
-driver-y += ck804_nic.o
-driver-y += ck804_pci.o
-driver-y += ck804_pcie.o
-driver-y += ck804_ht.o
+driver-y += ck804.c
+driver-y += ck804_usb.c
+driver-y += ck804_lpc.c
+driver-y += ck804_smbus.c
+driver-y += ck804_ide.c
+driver-y += ck804_sata.c
+driver-y += ck804_usb2.c
+driver-y += ck804_ac97.c
+driver-y += ck804_nic.c
+driver-y += ck804_pci.c
+driver-y += ck804_pcie.c
+driver-y += ck804_ht.c
-obj-y += ck804_reset.o
+ramstage-y += ck804_reset.c
-obj-$(CONFIG_GENERATE_ACPI_TABLES) += ck804_fadt.o
+ramstage-$(CONFIG_GENERATE_ACPI_TABLES) += ck804_fadt.c
chipset_bootblock_inc += $(src)/southbridge/nvidia/ck804/romstrap.inc
chipset_bootblock_lds += $(src)/southbridge/nvidia/ck804/romstrap.lds
diff --git a/src/southbridge/nvidia/mcp55/Makefile.inc b/src/southbridge/nvidia/mcp55/Makefile.inc
index 06bee2081d60..4388ca8e9a98 100644
--- a/src/southbridge/nvidia/mcp55/Makefile.inc
+++ b/src/southbridge/nvidia/mcp55/Makefile.inc
@@ -1,19 +1,19 @@
-driver-y += mcp55.o
-driver-y += mcp55_azalia.o
-driver-y += mcp55_ht.o
-driver-y += mcp55_ide.o
-driver-y += mcp55_lpc.o
-driver-y += mcp55_nic.o
-driver-y += mcp55_pci.o
-driver-y += mcp55_pcie.o
-driver-y += mcp55_sata.o
-driver-y += mcp55_smbus.o
-driver-y += mcp55_usb2.o
-driver-y += mcp55_usb.o
+driver-y += mcp55.c
+driver-y += mcp55_azalia.c
+driver-y += mcp55_ht.c
+driver-y += mcp55_ide.c
+driver-y += mcp55_lpc.c
+driver-y += mcp55_nic.c
+driver-y += mcp55_pci.c
+driver-y += mcp55_pcie.c
+driver-y += mcp55_sata.c
+driver-y += mcp55_smbus.c
+driver-y += mcp55_usb2.c
+driver-y += mcp55_usb.c
-driver-$(CONFIG_GENERATE_ACPI_TABLES) += mcp55_fadt.o
+driver-$(CONFIG_GENERATE_ACPI_TABLES) += mcp55_fadt.c
-obj-y += mcp55_reset.o
+ramstage-y += mcp55_reset.c
chipset_bootblock_inc += $(src)/southbridge/nvidia/mcp55/romstrap.inc
chipset_bootblock_lds += $(src)/southbridge/nvidia/mcp55/romstrap.lds
diff --git a/src/southbridge/ricoh/rl5c476/Makefile.inc b/src/southbridge/ricoh/rl5c476/Makefile.inc
index 7f0599994cd5..71604b727946 100644
--- a/src/southbridge/ricoh/rl5c476/Makefile.inc
+++ b/src/southbridge/ricoh/rl5c476/Makefile.inc
@@ -1 +1 @@
-driver-y += rl5c476.o
+driver-y += rl5c476.c
diff --git a/src/southbridge/sis/sis966/Makefile.inc b/src/southbridge/sis/sis966/Makefile.inc
index 54586c477b53..c3f000c769b4 100644
--- a/src/southbridge/sis/sis966/Makefile.inc
+++ b/src/southbridge/sis/sis966/Makefile.inc
@@ -1,14 +1,14 @@
-driver-y += sis761.o
-driver-y += sis966.o
-driver-y += sis966_lpc.o
-driver-y += sis966_ide.o
-driver-y += sis966_usb.o
-driver-y += sis966_usb2.o
-driver-y += sis966_nic.o
-driver-y += sis966_sata.o
-driver-y += sis966_pcie.o
-driver-y += sis966_aza.o
-obj-y += sis966_reset.o
+driver-y += sis761.c
+driver-y += sis966.c
+driver-y += sis966_lpc.c
+driver-y += sis966_ide.c
+driver-y += sis966_usb.c
+driver-y += sis966_usb2.c
+driver-y += sis966_nic.c
+driver-y += sis966_sata.c
+driver-y += sis966_pcie.c
+driver-y += sis966_aza.c
+ramstage-y += sis966_reset.c
chipset_bootblock_inc += $(src)/southbridge/sis/sis966/romstrap.inc
chipset_bootblock_lds += $(src)/southbridge/sis/sis966/romstrap.lds
diff --git a/src/southbridge/ti/pci1x2x/Makefile.inc b/src/southbridge/ti/pci1x2x/Makefile.inc
index ac7f09deabc4..d6a9c0c92047 100644
--- a/src/southbridge/ti/pci1x2x/Makefile.inc
+++ b/src/southbridge/ti/pci1x2x/Makefile.inc
@@ -1 +1 @@
-driver-$(CONFIG_SOUTHBRIDGE_TI_PCI1X2X) += pci1x2x.o
+driver-$(CONFIG_SOUTHBRIDGE_TI_PCI1X2X) += pci1x2x.c
diff --git a/src/southbridge/ti/pci7420/Makefile.inc b/src/southbridge/ti/pci7420/Makefile.inc
index 50a0331a2dac..2a208f7c89c0 100644
--- a/src/southbridge/ti/pci7420/Makefile.inc
+++ b/src/southbridge/ti/pci7420/Makefile.inc
@@ -17,6 +17,6 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += pci7420_cardbus.o
-driver-y += pci7420_firewire.o
+driver-y += pci7420_cardbus.c
+driver-y += pci7420_firewire.c
diff --git a/src/southbridge/ti/pcixx12/Makefile.inc b/src/southbridge/ti/pcixx12/Makefile.inc
index 41d1e0da3ca4..a14ad0e298e1 100644
--- a/src/southbridge/ti/pcixx12/Makefile.inc
+++ b/src/southbridge/ti/pcixx12/Makefile.inc
@@ -17,5 +17,5 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += pcixx12.o
+driver-y += pcixx12.c
diff --git a/src/southbridge/via/k8t890/Makefile.inc b/src/southbridge/via/k8t890/Makefile.inc
index a04a6ce80158..b549d4af33c1 100644
--- a/src/southbridge/via/k8t890/Makefile.inc
+++ b/src/southbridge/via/k8t890/Makefile.inc
@@ -1,12 +1,12 @@
-driver-y += k8t890_ctrl.o
-driver-y += k8t890_dram.o
-driver-y += k8t890_bridge.o
-driver-y += k8t890_host.o
-driver-y += k8t890_host_ctrl.o
-driver-y += k8t890_pcie.o
-driver-y += k8t890_traf_ctrl.o
-driver-y += k8t890_error.o
-driver-y += k8m890_chrome.o
+driver-y += k8t890_ctrl.c
+driver-y += k8t890_dram.c
+driver-y += k8t890_bridge.c
+driver-y += k8t890_host.c
+driver-y += k8t890_host_ctrl.c
+driver-y += k8t890_pcie.c
+driver-y += k8t890_traf_ctrl.c
+driver-y += k8t890_error.c
+driver-y += k8m890_chrome.c
chipset_bootblock_inc += $(src)/southbridge/via/k8t890/romstrap.inc
chipset_bootblock_lds += $(src)/southbridge/via/k8t890/romstrap.lds
diff --git a/src/southbridge/via/vt8231/Makefile.inc b/src/southbridge/via/vt8231/Makefile.inc
index 11ad221dd928..938d3cebe3bc 100644
--- a/src/southbridge/via/vt8231/Makefile.inc
+++ b/src/southbridge/via/vt8231/Makefile.inc
@@ -17,9 +17,9 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += vt8231.o
-driver-y += vt8231_lpc.o
-driver-y += vt8231_acpi.o
-driver-y += vt8231_ide.o
-driver-y += vt8231_nic.o
-#driver-y += vt8231_usb.o
+driver-y += vt8231.c
+driver-y += vt8231_lpc.c
+driver-y += vt8231_acpi.c
+driver-y += vt8231_ide.c
+driver-y += vt8231_nic.c
+#driver-y += vt8231_usb.c
diff --git a/src/southbridge/via/vt8235/Makefile.inc b/src/southbridge/via/vt8235/Makefile.inc
index 2b9fc1df9c5d..06d533560b8b 100644
--- a/src/southbridge/via/vt8235/Makefile.inc
+++ b/src/southbridge/via/vt8235/Makefile.inc
@@ -17,8 +17,8 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += vt8235.o
-driver-y += vt8235_ide.o
-driver-y += vt8235_lpc.o
-driver-y += vt8235_nic.o
-driver-y += vt8235_usb.o
+driver-y += vt8235.c
+driver-y += vt8235_ide.c
+driver-y += vt8235_lpc.c
+driver-y += vt8235_nic.c
+driver-y += vt8235_usb.c
diff --git a/src/southbridge/via/vt8237r/Makefile.inc b/src/southbridge/via/vt8237r/Makefile.inc
index e045d41ab738..8a1efa5951e1 100644
--- a/src/southbridge/via/vt8237r/Makefile.inc
+++ b/src/southbridge/via/vt8237r/Makefile.inc
@@ -17,11 +17,11 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-driver-y += vt8237r.o
-driver-y += vt8237_ctrl.o
-driver-y += vt8237r_ide.o
-driver-y += vt8237r_lpc.o
-driver-y += vt8237r_sata.o
-driver-y += vt8237r_usb.o
-driver-y += vt8237r_nic.o
-obj-$(CONFIG_GENERATE_ACPI_TABLES) += vt8237_fadt.o
+driver-y += vt8237r.c
+driver-y += vt8237_ctrl.c
+driver-y += vt8237r_ide.c
+driver-y += vt8237r_lpc.c
+driver-y += vt8237r_sata.c
+driver-y += vt8237r_usb.c
+driver-y += vt8237r_nic.c
+ramstage-$(CONFIG_GENERATE_ACPI_TABLES) += vt8237_fadt.c
diff --git a/src/superio/fintek/f71805f/Makefile.inc b/src/superio/fintek/f71805f/Makefile.inc
index db5d93aa7981..a2f3b3e49769 100644
--- a/src/superio/fintek/f71805f/Makefile.inc
+++ b/src/superio/fintek/f71805f/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_FINTEK_F71805F) += superio.o
+ramstage-$(CONFIG_SUPERIO_FINTEK_F71805F) += superio.c
diff --git a/src/superio/fintek/f71859/Makefile.inc b/src/superio/fintek/f71859/Makefile.inc
index 9849cbe14f57..1e1205db7751 100755
--- a/src/superio/fintek/f71859/Makefile.inc
+++ b/src/superio/fintek/f71859/Makefile.inc
@@ -17,4 +17,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_FINTEK_F71859) += superio.o
+ramstage-$(CONFIG_SUPERIO_FINTEK_F71859) += superio.c
diff --git a/src/superio/fintek/f71863fg/Makefile.inc b/src/superio/fintek/f71863fg/Makefile.inc
index 663f171a8b46..b39bf8826df3 100644
--- a/src/superio/fintek/f71863fg/Makefile.inc
+++ b/src/superio/fintek/f71863fg/Makefile.inc
@@ -18,5 +18,5 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-$(CONFIG_SUPERIO_FINTEK_F71863FG) += superio.o
+ramstage-$(CONFIG_SUPERIO_FINTEK_F71863FG) += superio.c
diff --git a/src/superio/intel/i3100/Makefile.inc b/src/superio/intel/i3100/Makefile.inc
index d9b76d0a81b6..0d933d0d060b 100644
--- a/src/superio/intel/i3100/Makefile.inc
+++ b/src/superio/intel/i3100/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_INTEL_I3100) += superio.o
+ramstage-$(CONFIG_SUPERIO_INTEL_I3100) += superio.c
diff --git a/src/superio/ite/it8661f/Makefile.inc b/src/superio/ite/it8661f/Makefile.inc
index 5bd564216af4..599cd2742327 100644
--- a/src/superio/ite/it8661f/Makefile.inc
+++ b/src/superio/ite/it8661f/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_ITE_IT8661F) += superio.o
+ramstage-$(CONFIG_SUPERIO_ITE_IT8661F) += superio.c
diff --git a/src/superio/ite/it8671f/Makefile.inc b/src/superio/ite/it8671f/Makefile.inc
index 18edd9b81ca2..1870e62c15e2 100644
--- a/src/superio/ite/it8671f/Makefile.inc
+++ b/src/superio/ite/it8671f/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_ITE_IT8671F) += superio.o
+ramstage-$(CONFIG_SUPERIO_ITE_IT8671F) += superio.c
diff --git a/src/superio/ite/it8673f/Makefile.inc b/src/superio/ite/it8673f/Makefile.inc
index 3bb5b1c4bc40..97bacd00618a 100644
--- a/src/superio/ite/it8673f/Makefile.inc
+++ b/src/superio/ite/it8673f/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_ITE_IT8673F) += superio.o
+ramstage-$(CONFIG_SUPERIO_ITE_IT8673F) += superio.c
diff --git a/src/superio/ite/it8705f/Makefile.inc b/src/superio/ite/it8705f/Makefile.inc
index 6c20a4130081..1aa83fcfc45f 100644
--- a/src/superio/ite/it8705f/Makefile.inc
+++ b/src/superio/ite/it8705f/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_ITE_IT8705F) += superio.o
+ramstage-$(CONFIG_SUPERIO_ITE_IT8705F) += superio.c
diff --git a/src/superio/ite/it8712f/Makefile.inc b/src/superio/ite/it8712f/Makefile.inc
index a7078e101b84..08b2223192e2 100644
--- a/src/superio/ite/it8712f/Makefile.inc
+++ b/src/superio/ite/it8712f/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_ITE_IT8712F) += superio.o
+ramstage-$(CONFIG_SUPERIO_ITE_IT8712F) += superio.c
diff --git a/src/superio/ite/it8716f/Makefile.inc b/src/superio/ite/it8716f/Makefile.inc
index fe0dab0699ce..136e79b9cb86 100644
--- a/src/superio/ite/it8716f/Makefile.inc
+++ b/src/superio/ite/it8716f/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_ITE_IT8716F) += superio.o
+ramstage-$(CONFIG_SUPERIO_ITE_IT8716F) += superio.c
diff --git a/src/superio/ite/it8718f/Makefile.inc b/src/superio/ite/it8718f/Makefile.inc
index 257afc9240eb..c72bf8c434e4 100644
--- a/src/superio/ite/it8718f/Makefile.inc
+++ b/src/superio/ite/it8718f/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_ITE_IT8718F) += superio.o
+ramstage-$(CONFIG_SUPERIO_ITE_IT8718F) += superio.c
diff --git a/src/superio/nsc/pc8374/Makefile.inc b/src/superio/nsc/pc8374/Makefile.inc
index db4cd6aaf79b..9d88cf6761b5 100644
--- a/src/superio/nsc/pc8374/Makefile.inc
+++ b/src/superio/nsc/pc8374/Makefile.inc
@@ -20,4 +20,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC8374) += superio.o
+ramstage-$(CONFIG_SUPERIO_NSC_PC8374) += superio.c
diff --git a/src/superio/nsc/pc87309/Makefile.inc b/src/superio/nsc/pc87309/Makefile.inc
index f132d8017e1d..87104f9daf05 100644
--- a/src/superio/nsc/pc87309/Makefile.inc
+++ b/src/superio/nsc/pc87309/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC87309) += superio.o
+ramstage-$(CONFIG_SUPERIO_NSC_PC87309) += superio.c
diff --git a/src/superio/nsc/pc87351/Makefile.inc b/src/superio/nsc/pc87351/Makefile.inc
index 3f93962a2352..c1809b1b57ca 100644
--- a/src/superio/nsc/pc87351/Makefile.inc
+++ b/src/superio/nsc/pc87351/Makefile.inc
@@ -20,4 +20,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC87351) += superio.o
+ramstage-$(CONFIG_SUPERIO_NSC_PC87351) += superio.c
diff --git a/src/superio/nsc/pc87360/Makefile.inc b/src/superio/nsc/pc87360/Makefile.inc
index 6bfaafd8417a..142b00c51ab0 100644
--- a/src/superio/nsc/pc87360/Makefile.inc
+++ b/src/superio/nsc/pc87360/Makefile.inc
@@ -20,4 +20,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC87360) += superio.o
+ramstage-$(CONFIG_SUPERIO_NSC_PC87360) += superio.c
diff --git a/src/superio/nsc/pc87366/Makefile.inc b/src/superio/nsc/pc87366/Makefile.inc
index 973bd9e663ee..9ce1e17f7e0c 100644
--- a/src/superio/nsc/pc87366/Makefile.inc
+++ b/src/superio/nsc/pc87366/Makefile.inc
@@ -20,4 +20,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC87366) += superio.o
+ramstage-$(CONFIG_SUPERIO_NSC_PC87366) += superio.c
diff --git a/src/superio/nsc/pc87417/Makefile.inc b/src/superio/nsc/pc87417/Makefile.inc
index 5d1c472bc2b2..d1236155ff6b 100644
--- a/src/superio/nsc/pc87417/Makefile.inc
+++ b/src/superio/nsc/pc87417/Makefile.inc
@@ -21,4 +21,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC87417) += superio.o
+ramstage-$(CONFIG_SUPERIO_NSC_PC87417) += superio.c
diff --git a/src/superio/nsc/pc87427/Makefile.inc b/src/superio/nsc/pc87427/Makefile.inc
index 62c5aa543bc2..9b03a255b113 100644
--- a/src/superio/nsc/pc87427/Makefile.inc
+++ b/src/superio/nsc/pc87427/Makefile.inc
@@ -20,4 +20,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC87427) += superio.o
+ramstage-$(CONFIG_SUPERIO_NSC_PC87427) += superio.c
diff --git a/src/superio/nsc/pc97307/Makefile.inc b/src/superio/nsc/pc97307/Makefile.inc
index a601ab17e46d..67295a219fbc 100644
--- a/src/superio/nsc/pc97307/Makefile.inc
+++ b/src/superio/nsc/pc97307/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC97307) += superio.o
+ramstage-$(CONFIG_SUPERIO_NSC_PC97307) += superio.c
diff --git a/src/superio/nsc/pc97317/Makefile.inc b/src/superio/nsc/pc97317/Makefile.inc
index cf5fc6bb34a1..6afa13307584 100644
--- a/src/superio/nsc/pc97317/Makefile.inc
+++ b/src/superio/nsc/pc97317/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_NSC_PC97317) += superio.o
+ramstage-$(CONFIG_SUPERIO_NSC_PC97317) += superio.c
diff --git a/src/superio/renesas/m3885x/Makefile.inc b/src/superio/renesas/m3885x/Makefile.inc
index 1b85138229f6..10658bec70a0 100644
--- a/src/superio/renesas/m3885x/Makefile.inc
+++ b/src/superio/renesas/m3885x/Makefile.inc
@@ -17,4 +17,4 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-$(CONFIG_SUPERIO_RENESAS_M3885X) += superio.o
+ramstage-$(CONFIG_SUPERIO_RENESAS_M3885X) += superio.c
diff --git a/src/superio/smsc/fdc37m60x/Makefile.inc b/src/superio/smsc/fdc37m60x/Makefile.inc
index 3d7144a139b8..197ecd39b1d2 100644
--- a/src/superio/smsc/fdc37m60x/Makefile.inc
+++ b/src/superio/smsc/fdc37m60x/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_SMSC_FDC37M60X) += superio.o
+ramstage-$(CONFIG_SUPERIO_SMSC_FDC37M60X) += superio.c
diff --git a/src/superio/smsc/fdc37n972/Makefile.inc b/src/superio/smsc/fdc37n972/Makefile.inc
index fba29614d824..7139f6890f37 100644
--- a/src/superio/smsc/fdc37n972/Makefile.inc
+++ b/src/superio/smsc/fdc37n972/Makefile.inc
@@ -17,4 +17,4 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-$(CONFIG_SUPERIO_SMSC_FDC37N972) += fdc37n972.o
+ramstage-$(CONFIG_SUPERIO_SMSC_FDC37N972) += fdc37n972.c
diff --git a/src/superio/smsc/lpc47b272/Makefile.inc b/src/superio/smsc/lpc47b272/Makefile.inc
index c9332aa42b19..e3ca5f29b9f7 100644
--- a/src/superio/smsc/lpc47b272/Makefile.inc
+++ b/src/superio/smsc/lpc47b272/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_SMSC_LPC47B272) += superio.o
+ramstage-$(CONFIG_SUPERIO_SMSC_LPC47B272) += superio.c
diff --git a/src/superio/smsc/lpc47b397/Makefile.inc b/src/superio/smsc/lpc47b397/Makefile.inc
index ee66fa1204b6..56d97a12c225 100644
--- a/src/superio/smsc/lpc47b397/Makefile.inc
+++ b/src/superio/smsc/lpc47b397/Makefile.inc
@@ -21,4 +21,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_SMSC_LPC47B397) += superio.o
+ramstage-$(CONFIG_SUPERIO_SMSC_LPC47B397) += superio.c
diff --git a/src/superio/smsc/lpc47m10x/Makefile.inc b/src/superio/smsc/lpc47m10x/Makefile.inc
index 63a778513e7f..578a8a73d256 100644
--- a/src/superio/smsc/lpc47m10x/Makefile.inc
+++ b/src/superio/smsc/lpc47m10x/Makefile.inc
@@ -23,4 +23,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_SMSC_LPC47M10X) += superio.o
+ramstage-$(CONFIG_SUPERIO_SMSC_LPC47M10X) += superio.c
diff --git a/src/superio/smsc/lpc47m15x/Makefile.inc b/src/superio/smsc/lpc47m15x/Makefile.inc
index 37ee88ee0f1a..1d3853f52f15 100644
--- a/src/superio/smsc/lpc47m15x/Makefile.inc
+++ b/src/superio/smsc/lpc47m15x/Makefile.inc
@@ -18,4 +18,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_SMSC_LPC47M15X) += superio.o
+ramstage-$(CONFIG_SUPERIO_SMSC_LPC47M15X) += superio.c
diff --git a/src/superio/smsc/lpc47n217/Makefile.inc b/src/superio/smsc/lpc47n217/Makefile.inc
index 10710b908052..1547ac4a2343 100644
--- a/src/superio/smsc/lpc47n217/Makefile.inc
+++ b/src/superio/smsc/lpc47n217/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_SMSC_LPC47N217) += superio.o
+ramstage-$(CONFIG_SUPERIO_SMSC_LPC47N217) += superio.c
diff --git a/src/superio/smsc/lpc47n227/Makefile.inc b/src/superio/smsc/lpc47n227/Makefile.inc
index 88f0177de1ee..22864f933c99 100644
--- a/src/superio/smsc/lpc47n227/Makefile.inc
+++ b/src/superio/smsc/lpc47n227/Makefile.inc
@@ -17,4 +17,4 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-$(CONFIG_SUPERIO_SMSC_LPC47N227) += superio.o
+ramstage-$(CONFIG_SUPERIO_SMSC_LPC47N227) += superio.c
diff --git a/src/superio/smsc/sio10n268/Makefile.inc b/src/superio/smsc/sio10n268/Makefile.inc
index a4186bfe6101..eeefa2d4315a 100644
--- a/src/superio/smsc/sio10n268/Makefile.inc
+++ b/src/superio/smsc/sio10n268/Makefile.inc
@@ -17,4 +17,4 @@
## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
##
-obj-$(CONFIG_SUPERIO_SMSC_SIO10N268) += sio10n268.o
+ramstage-$(CONFIG_SUPERIO_SMSC_SIO10N268) += sio10n268.c
diff --git a/src/superio/smsc/smscsuperio/Makefile.inc b/src/superio/smsc/smscsuperio/Makefile.inc
index 7aa10532bd5b..209a307fb275 100644
--- a/src/superio/smsc/smscsuperio/Makefile.inc
+++ b/src/superio/smsc/smscsuperio/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_SMSC_SMSCSUPERIO) += superio.o
+ramstage-$(CONFIG_SUPERIO_SMSC_SMSCSUPERIO) += superio.c
diff --git a/src/superio/via/vt1211/Makefile.inc b/src/superio/via/vt1211/Makefile.inc
index ce8b503ee296..2080e84d69a3 100644
--- a/src/superio/via/vt1211/Makefile.inc
+++ b/src/superio/via/vt1211/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_VIA_VT1211) += vt1211.o
+ramstage-$(CONFIG_SUPERIO_VIA_VT1211) += vt1211.c
diff --git a/src/superio/winbond/w83627dhg/Makefile.inc b/src/superio/winbond/w83627dhg/Makefile.inc
index e24b3a755e30..033e367eb445 100644
--- a/src/superio/winbond/w83627dhg/Makefile.inc
+++ b/src/superio/winbond/w83627dhg/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83627DHG) += superio.o
+ramstage-$(CONFIG_SUPERIO_WINBOND_W83627DHG) += superio.c
diff --git a/src/superio/winbond/w83627ehg/Makefile.inc b/src/superio/winbond/w83627ehg/Makefile.inc
index a262e4aa01c6..1cecd88531b5 100644
--- a/src/superio/winbond/w83627ehg/Makefile.inc
+++ b/src/superio/winbond/w83627ehg/Makefile.inc
@@ -20,4 +20,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83627EHG) += superio.o
+ramstage-$(CONFIG_SUPERIO_WINBOND_W83627EHG) += superio.c
diff --git a/src/superio/winbond/w83627hf/Makefile.inc b/src/superio/winbond/w83627hf/Makefile.inc
index 795e197ff17c..8b101e5d3b63 100644
--- a/src/superio/winbond/w83627hf/Makefile.inc
+++ b/src/superio/winbond/w83627hf/Makefile.inc
@@ -21,4 +21,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83627HF) += superio.o
+ramstage-$(CONFIG_SUPERIO_WINBOND_W83627HF) += superio.c
diff --git a/src/superio/winbond/w83627thf/Makefile.inc b/src/superio/winbond/w83627thf/Makefile.inc
index 05ef349f6992..6d4097b058ef 100644
--- a/src/superio/winbond/w83627thf/Makefile.inc
+++ b/src/superio/winbond/w83627thf/Makefile.inc
@@ -21,4 +21,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83627THF) += superio.o
+ramstage-$(CONFIG_SUPERIO_WINBOND_W83627THF) += superio.c
diff --git a/src/superio/winbond/w83627thg/Makefile.inc b/src/superio/winbond/w83627thg/Makefile.inc
index e99032fdbb1c..1099a2dbe342 100644
--- a/src/superio/winbond/w83627thg/Makefile.inc
+++ b/src/superio/winbond/w83627thg/Makefile.inc
@@ -21,4 +21,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83627THG) += superio.o
+ramstage-$(CONFIG_SUPERIO_WINBOND_W83627THG) += superio.c
diff --git a/src/superio/winbond/w83627uhg/Makefile.inc b/src/superio/winbond/w83627uhg/Makefile.inc
index 6fe6f5be552f..05c5c368ea3d 100644
--- a/src/superio/winbond/w83627uhg/Makefile.inc
+++ b/src/superio/winbond/w83627uhg/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83627UHG) += superio.o
+ramstage-$(CONFIG_SUPERIO_WINBOND_W83627UHG) += superio.c
diff --git a/src/superio/winbond/w83697hf/Makefile.inc b/src/superio/winbond/w83697hf/Makefile.inc
index 31f9c12e7125..e1e27b054c1e 100644
--- a/src/superio/winbond/w83697hf/Makefile.inc
+++ b/src/superio/winbond/w83697hf/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83697HF) += superio.o
+ramstage-$(CONFIG_SUPERIO_WINBOND_W83697HF) += superio.c
diff --git a/src/superio/winbond/w83977f/Makefile.inc b/src/superio/winbond/w83977f/Makefile.inc
index 7e239b3b5d14..fa2f260e2024 100644
--- a/src/superio/winbond/w83977f/Makefile.inc
+++ b/src/superio/winbond/w83977f/Makefile.inc
@@ -19,4 +19,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83977F) += superio.o
+ramstage-$(CONFIG_SUPERIO_WINBOND_W83977F) += superio.c
diff --git a/src/superio/winbond/w83977tf/Makefile.inc b/src/superio/winbond/w83977tf/Makefile.inc
index 53e923127bb0..62a50fc6981b 100644
--- a/src/superio/winbond/w83977tf/Makefile.inc
+++ b/src/superio/winbond/w83977tf/Makefile.inc
@@ -21,4 +21,4 @@
##
#config chip.h
-obj-$(CONFIG_SUPERIO_WINBOND_W83977TF) += superio.o
+ramstage-$(CONFIG_SUPERIO_WINBOND_W83977TF) += superio.c