summaryrefslogtreecommitdiffstats
path: root/MdePkg/Library/BasePciLibCf8/BasePciLibCf8.uni
blob: 37ff4d01a33f9e14beff44cdef2c939022ae7fea (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
// /** @file
// Instance of PCI Library based on PCI CF8 Library.
//
// PCI Library that uses I/O ports 0xCF8 and 0xCFC to perform
// PCI Configuration cycles. Layers on top of one PCI CF8 Library instance.
//
// Copyright (c) 2007 - 2014, Intel Corporation. All rights reserved.<BR>
//
// SPDX-License-Identifier: BSD-2-Clause-Patent
//
// **/


#string STR_MODULE_ABSTRACT             #language en-US "Instance of PCI Library based on PCI CF8 Library"

#string STR_MODULE_DESCRIPTION          #language en-US "PCI Library that uses I/O ports 0xCF8 and 0xCFC to perform PCI Configuration cycles. Layers on top of one PCI CF8 Library instance."