summaryrefslogtreecommitdiffstats
path: root/drivers/clk/clk-fractional-divider.c
Commit message (Expand)AuthorAgeFilesLines
* clk: fractional-divider: Use bit operations consistentlyAndy Shevchenko2024-03-081-4/+4
* clk: fractional-divider: Move mask calculations out of lockAndy Shevchenko2024-03-081-3/+3
* clk: fractional-divider: Improve approximation when zero based and exportFrank Oltmanns2023-10-121-7/+20
* clk: Compute masks for fractional_divider clk when needed.Christophe JAILLET2023-04-051-5/+11
* clk: fractional-divider: Regroup inclusionsAndy Shevchenko2022-11-221-3/+4
* clk: fractional-divider: Show numerator and denominator in debugfsAndy Shevchenko2022-11-221-0/+36
* clk: fractional-divider: Split out clk_fd_get_div() helperAndy Shevchenko2022-11-221-6/+16
* clk: cleanup commentsTom Rix2022-03-111-1/+1
* clk: fractional-divider: Document the arithmetics used behind the codeAndy Shevchenko2021-08-121-1/+34
* clk: fractional-divider: Introduce POWER_OF_TWO_PS flagAndy Shevchenko2021-08-121-4/+6
* clk: fractional-divider: Export approximation algorithm to the CCF usersAndy Shevchenko2021-08-121-4/+7
* clk: Remove io.h from clk-provider.hStephen Boyd2019-05-151-0/+1
* Merge branch 'clk-ti' into clk-nextStephen Boyd2019-05-071-1/+1
|\
| * clk: Remove CLK_IS_BASIC clk flagStephen Boyd2019-04-261-1/+1
* | clk: core: replace clk_{readl,writel} with {readl,writel}Jonas Gorski2019-04-231-2/+2
* | clk: fractional-divider: add explicit big endian supportJonas Gorski2019-04-231-3/+19
|/
* clk: fractional-divider: check parent rate only if flag is setKatsuhiro Suzuki2019-02-221-1/+1
*-. Merge branches 'clk-imx7ulp', 'clk-imx6-fixes', 'clk-imx-fixes', 'clk-imx8qxp...Stephen Boyd2018-12-141-0/+10
|\ \
| * | clk: fractional-divider: add CLK_FRAC_DIVIDER_ZERO_BASED flag supportA.s. Dong2018-12-031-0/+10
| |/
* / clk: Tag basic clk types with SPDXStephen Boyd2018-12-111-4/+1
|/
* clk: fractional-divider: allow overriding of approximationElaine Zhang2017-08-081-8/+20
* clk: fractional-divider: Add hw based registration APIsStephen Boyd2016-04-191-5/+35
* clk: move the common clock's to_clk_*(_hw) macros to clk-provider.hGeliang Tang2016-01-291-2/+0
* clk: fractional-divider: switch to rational best approximationAndy Shevchenko2015-10-021-15/+26
* clk: fractional-divider: keep mwidth and nwidth internallyAndy Shevchenko2015-10-021-2/+4
* clk: fractional-divider: rename prate -> parent_rateAndy Shevchenko2015-10-021-5/+5
* clk: basic-type: Silence warnings about lock imbalancesStephen Boyd2015-07-281-0/+8
* clk: basic-types: Remove useless allocation failure printksStephen Boyd2015-05-141-3/+1
* clk: fractional-divider: support for divider bypassingHeikki Krogerus2015-03-121-0/+3
* clk: fractional-divider: cast parent_rate to u64 before multiplyingHeiko Stübner2014-09-101-1/+1
* clk: new basic clk type for fractional dividerHeikki Krogerus2014-05-201-0/+135